用原理图方法设计8位全加器

用原理图方法设计8位全加器

ID:30933384

大小:543.91 KB

页数:4页

时间:2019-01-04

用原理图方法设计8位全加器_第1页
用原理图方法设计8位全加器_第2页
用原理图方法设计8位全加器_第3页
用原理图方法设计8位全加器_第4页
资源描述:

《用原理图方法设计8位全加器》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、实验报告一一、实验目的熟悉利用QuartusII的原理图输入方法设计简单电路,掌握层次化设计的方法,并通过一个8位全加器的设计把握利用EDA软件进行电子线路设计的详细流程。二、实验内容1.根据工作原理,完成1位半加器和全加器的设计;2.建立一个更高的原理图设计层次,利用以上获得的1位全加器构成8位全加器,并完成编译、综合、适配、仿真。三、实验环境计算机、QuartusIT软件四、实验步骤1.根据半加器工作原理,建立电路并仿真,并将元件打包。⑴电路••••••/T(2)仿真(3)打包后的文件:••••JBlockl・・・・••••・・・・AS••••

2、BCOinst1.利用半加器构成一位全加器,并打包。(1)电路(2)仿真仿真结果分析:CI为来自低位的进位,S=AxorBxorCI,即:当A,B,CI中有一位为高电平T'或者三位同时高电平为T',则S二1,否则S=0;当A,B,CT有两位或者三位同为高电平T'时,产生进位信号CO二T'。(3)打包后的文件Block2•••■•■i—AS■■■■■•••■j—BCO■■•••■■Cl■•■•••■■iinst•■•••■■2.利用全加器构成8位全加器,并完成编译、综合、适配、仿真。(1)电路(2)仿真1.21us5.33us18.8辛us11.4

3、,514.qiuS16.5?us19.13ue矗00A00000000Xi!!::3000000100010010Tynooooooo-砂90BooooooooXHH:::00101000fN:H00010110!:;■X'10000000詡180S00000000X!!:D010100100101000::Yoooooooo莎27CO?.24us4.8us17.36us99?us12.48us15.04us厲9us20.16us谆0田A0X1T18?X128砂9田B0X40X22X;128参180S——*or41i:40i0衬27CO1仿真结果分

4、析:八位全加器,和S分别与A,B对应。当来自第七位的进位信号为T'、A的最高位和B的最高位三者有两个位高电平'V吋,则产生进位信号CO二T,。五'实验结果与讨论实验的仿真结果与预计的结果一致,所以所设计的电路是正确的。不足的地方有:1、对软件还不够熟悉,所以操作的有点慢;2、设计电路时,由于数字电路的知识有些开始淡忘了,所以应当及时去补缺补弱。六、总结思考题:为了提高加法器工作速度,如何改进以设计的进位方式?答:采用超前进位。串行加法器的第i位进位是由0~(i-l)决定的,而超前进位是事先得出每一位全加器的进位输出信号,而无需再从低位开始向高位逐位

5、传递进位信号了,这就有效地提高了工作速度了。

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。