实验三彩灯控制器设计实验

实验三彩灯控制器设计实验

ID:30397280

大小:69.04 KB

页数:4页

时间:2018-12-29

实验三彩灯控制器设计实验_第1页
实验三彩灯控制器设计实验_第2页
实验三彩灯控制器设计实验_第3页
实验三彩灯控制器设计实验_第4页
资源描述:

《实验三彩灯控制器设计实验》由会员上传分享,免费在线阅读,更多相关内容在应用文档-天天文库

1、自动化学院——EDA实验指导书实验三彩灯控制器设计实验一、实验目的1、通过实验初步了解实验箱上的频率源的基本使用方法。2、通过阅读彩灯实验程序,了解编程并行处理的思维方式。3、初步掌握EDA开发软件的调试方法。二、实验要求1、阅读和了解彩灯的编程思路。2、验证彩灯实验。三、实验设备1、计算机一台2、EDA——Ⅳ实验箱一台四、实验原理在本实验中(如附录1-NO.4所示),8个彩灯共阴接地,阳极分别为10k10的8个I/O相连,I/O输出变化的电平,来控制彩灯的点亮。五、实验连线1将实验板正中上方,JPLED1(数码管右下方)的短路帽右插;JPLE

2、D的七个短路帽全部上插;2实验板右下方频率选择区中CLK5(即JP153)的短路帽接1Hz或23Hz;五、实验内容与步骤1、打开MAX+plusⅡ软件,编辑项目文件“File→Project→Name→light,”。2、打开文本文件,light.vhd。3、阅读原文件:--彩灯显示实验libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entitylightisport(clk1:instd_logic;---时钟信号light:bufferstd_log

3、ic_vector(7downto0));--输出endlight;architecturebehvoflightisconstantlen:integer:=7;signalbanner:std_logic:='0';----定义信号banner为两种节拍转换信号;signalclk,clk2:std_logic;----信号CLK1,CLK2作为辅助时钟beginclk<=(clk1andbanner)or(clk2andnotbanner);process(clk1)begin·4·自动化学院——EDA实验指导书ifclk1'eventa

4、ndclk1='1'then---CLK1二分频得CLK2clk2<=notclk2;endif;endprocess;process(clk)----variableflag:bit_vector(2downto0):="000";beginifclk'eventandclk='1'thenifflag="000"thenlight<='1'&light(lendownto1);----顺序循环移位iflight(1)='1'then----依次点亮flag:="001";endif;elsifflag="001"then———依次熄灭lig

5、ht<=light(len-1downto0)&'0';iflight(6)='0'thenflag:="010";endif;elsifflag="010"thenlight(lendownto4)<=light(len-1downto4)&'1';---从中间向两边点light(len-4downto0)<='1'&light(len-4downto1);iflight(1)='1'thenflag:="011";endif;elsifflag="011"thenlight(lendownto4)<='0'&light(lendownto5

6、);----从两边向中间熄light(len-4downto0)<=light(len-5downto0)&'0';iflight(2)='0'thenflag:="100";endif;elsifflag="100"thenlight(lendownto4)<='1'&light(lendownto5);----奇偶位循环点亮light(len-4downto0)<='1'&light(len-4downto1);iflight(1)='1'thenflag:="101";endif;elsifflag="101"thenlight<="00

7、000000";flag:="110";elsifflag="110"then----从新开始banner<=notbanner;---banner信号转换,实现第二种节拍flag:="000";endif;endif;endprocess;·4·自动化学院——EDA实验指导书endbehv;1、选择器件:点击”Assign→Device”然后选择EPF10K10LC84-4。2、源程序编译。按start开始编译3、编译成功后,进行引脚分配或改变原有的引脚分配。依次点击菜单栏中:Assign→Pin/location/Chip...在出现的对话

8、框中点击Search→List,选择一个引脚后点击OK,然后在ChipResource中的Pin下拉菜单中选择目标引脚号(也可直接输入引脚号),此时如

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。