分频电路与12归1电路设计实验报告

分频电路与12归1电路设计实验报告

ID:29943483

大小:17.31 KB

页数:8页

时间:2018-12-25

分频电路与12归1电路设计实验报告_第1页
分频电路与12归1电路设计实验报告_第2页
分频电路与12归1电路设计实验报告_第3页
分频电路与12归1电路设计实验报告_第4页
分频电路与12归1电路设计实验报告_第5页
资源描述:

《分频电路与12归1电路设计实验报告》由会员上传分享,免费在线阅读,更多相关内容在应用文档-天天文库

1、为了适应公司新战略的发展,保障停车场安保新项目的正常、顺利开展,特制定安保从业人员的业务技能及个人素质的培训计划分频电路与12归1电路设计实验报告  实验二⒈原理图输入设计分频电路  一、实验目的:  用D触发器设计一个2分频电路在此基础上,设计一个4分频和8分频电路。  二、原理说明:  用D触发器设计一个2分频电路,封装元件,串联元件可生成4分频和8分频电路。  三、实验内容:  用D触发器设计一个2分频电路,并做波形仿真,在此基础上,设计一个4分频和8分频电路,做波形仿真。  四、实验环境:  计算机、Q

2、uartusII软件。  五、实验流程:  用D触发器设计一个2分频电路,并做波形仿真,在此基础上,设计一个4分频和8分频电路,做波形仿真。  六、实验步骤:  1.用D触发器设计一个2分频电路,封装元件,并做波形仿真。2分频电路原理图:  图2分频电路原理图  1目的-通过该培训员工可对保安行业有初步了解,并感受到安保行业的发展的巨大潜力,可提升其的专业水平,并确保其在这个行业的安全感。为了适应公司新战略的发展,保障停车场安保新项目的正常、顺利开展,特制定安保从业人员的业务技能及个人素质的培训计划  综合报告

3、:  图综合报告  功能仿真波形图:  图功能仿真波形图:  时序仿真波形图  :  图时序仿真波形图  时序仿真的延时、最大工作频率、建立时间和保持时间的情况:  图时钟至输出延时  2  图最大工作频率  封装元件:  图元件封装图  2.利用2分频电路元件设计4分频电路,并做波形仿真。  4分频电路原理图:  图4分频电路原理图综合报告:  图综合报告  3  功能仿真波形图:  图功能仿真波形图  时序仿真波形图:目的-通过该培训员工可对保安行业有初步了解,并感受到安保行业的发展的巨大潜力,可提升其的专

4、业水平,并确保其在这个行业的安全感。为了适应公司新战略的发展,保障停车场安保新项目的正常、顺利开展,特制定安保从业人员的业务技能及个人素质的培训计划  图时序仿真波形图  时序仿真的延时、最大工作频率、建立时间和保持时间的情况:  图最大工作频率  图时钟至输出延时  3.利用2分频电路元件设计8分频电路,并做波形仿真。8分频电路原理图:  图8分频电路原理图  4  综合报告:  图综合报告  功能仿真波形图:  图功能仿真波形图时序仿真波形图:  图时序仿真波形图  时序仿真的延时、最大工作频率、建立时间和

5、保持时间的情况:  图最大工作频率  图时钟至输出延时  5  分频电路与12归1电路设计  一.实验目的  1.学习硬件描述语言描述电路的原理。目的-通过该培训员工可对保安行业有初步了解,并感受到安保行业的发展的巨大潜力,可提升其的专业水平,并确保其在这个行业的安全感。为了适应公司新战略的发展,保障停车场安保新项目的正常、顺利开展,特制定安保从业人员的业务技能及个人素质的培训计划  2.学习分频电路的设计算法。  3.学会使用VHDL进行简单的电路设计。  二.实验仪器  1.  PC机一台  2.KHF-5

6、CPLD/FPGA实验开发系统一套。  三.实验要求  1.复习教材有关硬件描述语言的章节。  2.用硬件描述语言进行电路设计。  3.下载并用数码管显示结果。  四.实验内容与步骤  1.设计一个分频电路  已知cpld/fpga信号源脉冲频率为50M,试编写一分频程序,得到一周期为1  秒的脉冲频率。  2.12归1电路设计  创建一个新的项目。点击File菜单Project子菜单下ProjectName项;输入项目名打开文本编辑窗口。点击File菜单下New项,选TextEditor项。时钟源采用上面的分

7、频电路所分得的1秒的时钟源。设置项目名称与当前文件名相同,点击File菜单Project子菜单之saveandcheck项对电路进行保存并编译。目的-通过该培训员工可对保安行业有初步了解,并感受到安保行业的发展的巨大潜力,可提升其的专业水平,并确保其在这个行业的安全感。为了适应公司新战略的发展,保障停车场安保新项目的正常、顺利开展,特制定安保从业人员的业务技能及个人素质的培训计划  3.选择器件管脚分配与后编译  引脚分配:输入引脚:inclk----p183  输出引脚:输出由两个数码港seg1,seg2进行

8、并行显示;  seg1的a,b,c,d,e,f,g段-------161,162,163,164,166,167,168  VHDL实验一分频电路与12归1电路设计  一.实验目的  1.学习硬件描述语言描述电路的原理。  2.学习分频电路的设计算法。  3.学会使用VHDL进行简单的电路设计。  二.实验仪器  1.PC机一台  2.KHF-5CPLD/FPGA实验开发系统一套。  

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。