实验三pwm信号发生器

实验三pwm信号发生器

ID:28197800

大小:167.16 KB

页数:4页

时间:2018-12-07

实验三pwm信号发生器_第1页
实验三pwm信号发生器_第2页
实验三pwm信号发生器_第3页
实验三pwm信号发生器_第4页
资源描述:

《实验三pwm信号发生器》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、实验三:PWM信号发生器1.实验目的(1)学习QuartusII8.0软件的基本使用方法。(2)学习GW48-CKEDA实验开发系统的基木使用方法。(3)学习VHDL程序屮数据对象,数据类型,顺序语句和丼行语句的综合使川。2.实验内容设计并调试好一个脉宽数控调制信号发生器,此信号发生器是由两个完全相M的可A加载加法计数器LCNT8组成的,它的信兮的高低电平脉宽可分别由两组8位预置数进行控制。3.实验条件(1)开发软件:QuartusII8.0。(2)实验设备:GW48-CKEDA实验开发系统。(3)拟用芯片:EPM7128S-PL84。4.实验要求(1)MHi系统原理框图,说明系

2、统中各主要组成部分的功能。(2)编写各个VHDL源程序。(3)根据系统功能,选好测试用例,画出测试输入信号波形或编好测试文件。(4)根据选用的EDA实验开发装置编好用于硬件验证的管脚锁定表格或文件。(5)记录系统仿真、逻辑综合及硬件验证结果。(6)记录实验过程屮出现的问题及解决办法。5.实验过程(1)PWM即脉冲宽度调制,就适利川微处理器的数字输出來对模拟电路进行控制的一种非常有效的技术。PWM从处理器到被控制系统倍号都足数字式的,无需进行数/模转换。让信号保持为数字形式可将噪声影响降到敁小,因此广泛应用在测fi、通信和功率控制与变换的许多领域中。不图是一种PWM信号发牛.器的逻

3、辑图,此信号发生器是山两个完全相同的可自加载加法计数器LCNT8组成的,它的输出信号的高、低电平脉宽可分别由W组8位预置数进行控制。r——-ClkLCNT8•—CLKCAOktD一OP-O];instlr'LCNT8•—CLKCAOj—LD“0(7.0]Iinst::ids:如果将初始值吋预置的加法计数器的溢出信兮作为木计数器的初始预置值加载信兮LD,则可构成计数器初始值£)加载方式的加法计数器,从而构成数控分频器。图屮D触发器的一个重要功能就是均匀输出信号的占空比,提高驱动能力,这对驱动,诸如扬声器或电动机十分重要。(2)VHDL源程序①8位可自加载加法计数器的源程序LCNT8

4、.VHD-LCNT8.VHDLIBRARYIEEE;USEIEEE.STDLOGIC1164.ALL;ENTITYLCNT8ISPORT(CLK,LD:INSTD_LOGIC;D:ININTEGERRANGE0TO255;CAO.OUTSTD.LOGIC);ENDENTITYLCNT8;ARCHITECTUREARTOFLCNT8ISSIGNALCOUNT:INTEGERRANGE0TO255;BEGINPROCESS(CLK)ISBEGINIFCLK'EVENTANDCLK=’1THENIFLD=TTHENCOUNT<=D;ELSECOUNT<=COUNT+1;ENDIF;EN

5、DIF;ENDPROCESS;PROCESS(COUNT)ISBEGINIFCOUNT=255THENCAO<=T;ELSECAO<=,0,;ENDIF;ENDPROCESS;ENDARCHITECTUREART;②PWM信号发生器的源程序PWM.VHD-PWM.VHDLIBRARYIEEE;USEIEEE.STD_LOG1C_1164.ALL;ENTITYPWMISPORT(CLK:INSTD.LOGIC;A,B:INSTD_LOGIC_VECTOR(7DOWNTO0);PWM:OUTSTD_LOGIC);ENDENTITYPWM;ARCHITECTUREARTOFPWMISC

6、OMPONENTLCNT8ISPORT(CLK,LD:INSTD_LOGIC;D:INSTD_LOGIC_VECTOR(7DOWNTO0);CAO:OUTSTD_LOG1C);ENDCOMPONENTLCNT8;SIGNALCAO1,CAO2:STD_LOGIC;SIGNALLD1,LD2:STD_LOGIC;SIGNALSPWM:STD_LOGIC;BEGINU1:LCNT8PORTMAP(CLK=>CLK,LD=>LDi,D=>A,CAO=>CAO1);U2:LCNT8PORTMAP(CLK=〉CLK,LD=〉LD2,D=〉B,CAO=〉CAO2);PROCESS(CAO1,

7、CAO2)ISBEGINIFCAO1=4THENSPWM<=,0,;ELSIFCAO2.EVENTANDCAO2=!1THENSPWM<=T;ENDIF;ENDPROCESS;LD1<=NOTSPWM;LD2<=SPWM;PWM<=SFWM;ENDARCHITECTUREART;(3)仿真结果验证3.0ns2842X2022X60PWM.VHD的时序仿真结果(4)逻辑综合结果B[7..O]A[7..O]1.实验总结经过本次实验,我学会了QuartusII8.0开发系统的基本操作,

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。