基于uvm的基带射频接口电路的验证

基于uvm的基带射频接口电路的验证

ID:28020788

大小:51.50 KB

页数:4页

时间:2018-12-07

基于uvm的基带射频接口电路的验证_第1页
基于uvm的基带射频接口电路的验证_第2页
基于uvm的基带射频接口电路的验证_第3页
基于uvm的基带射频接口电路的验证_第4页
资源描述:

《基于uvm的基带射频接口电路的验证》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、基TUVM的基带射频接口电路的验证SoC基带芯片设计规模高度集成化,验证周期将不断增加,占整个研发阶段的70%[1]。为了使基带芯片验证更具高效性,采用了当前主流的UVM验证方法学。UVM验证方法学[2]是由Accellera在2011年正式推出的,创建的验证平台不仅提供很多可用接口,而且多样化的CadenceVIP还为UVM环境搭建提供了必要的组件。因此可以实现高覆盖率的功能验证,使仿真验证时间大幅减小。基带射频接口电路,是一种将基带芯片和射频芯片连接起来的数字串行接口。这种数字串行接口不仅节省硬件资源,而且可以

2、通过载波聚合的方式使基带达到较高的上下行空门峰值传输速率。由于复杂的私有化协议接口,必须搭建一种合适的验证平台,快速伞面地验证射频接口电路的功能。本文将使用UVM验证方法学对基带射频接口电路模块进行验证,验证方式将全面覆盖射频收发通路的所有功能点。1UVM验证平台基于UVM特性的验证设计(DesignUnderVerification),以SystemVerilog[3]硬件验证语言为基础,通过UVM库[4]调用各类验证组件,使验证工作分工明确。这个UVM库主要包含以下儿部分:第一,层次化的验证结构。代理器(Age

3、nt)主要集合驱动器等模块;驱动器(Driver)负责将生成的激励按照规则加入到DUT的管脚或者内部;监测器(Monitor)检测DUV的内部信号以及输出,实现多种监视操作;计分板(Scoreboard)对DUV值和Model预期的值作比较;参考模型(Referencemodel)使用SystemVerilog建立的和DUT相关的模型,输出期望值。第二,UVM序列器(Sequencer)[5]提供仲裁序列(Sequence)模块,使驱动器得到transaction类型数据包。第三,提供知识产权核(VIP),保证UV

4、M环境中的各个组件快速集成。典型的UVM验证平台如图1所示。在验证环境中,uvnvenv包含了全部的验证组件,两个验证设计通过总线接口跟平台相连。验证平台按照自上而下的结构规范,从eiw顶层例化了五个模块,两个输入代理器模块,一个输出代理器模块,一个参考模型模块和一个计分板模块。输入代理器模块先对验证环境进行配置,然后通过序列器模块将激励源传送至驱动器模块,驱动器模块将数据通过interface传送至从端接收者,而监测器可以采集驱动器给验证设计的数据信号。输出代理监测器模块实时采样验证设计的输出信号,将采集到的结果

5、放在计分板模块,并跟参考模型模块的输出信息进行比对,减小验证的错误率,降低验证风险。2基带射频接口电路模块基带射频接门模块包含射频接门的接收通路模块和发送通路模块。棊带射频接口模块架构阁如阁2所示。此射频接口模块采用AXI标准总线协议,通过X2P转接桥将从机地址、数据信号传输至配罝模块。其中接收通路的定时使能配罝通过GPIO输出,SPI通过配置读写寄存器控制外部射频芯片,接收通路状态是由接收控制寄存器模块配置,在可配置的TBU吋间点上打开和关闭射频接收。基带射频接门接收的数据流通过两个FIFO进行缓存,再使用两组D

6、MA将数据流通过AXI主机搬到基带芯片中的存储器。发送通路过程跟接收通路过程互逆,发送状态通过发送控制寄存器进行配罝,在可配罝的TBU时间点上打开和关闭射频发送,基带芯片主机存储器的数据流通过AXI总线并使用4组DMA缓存至4个FIFO中,最终发送到射频芯片。在射频接口收发过程中,DMA搬数任务完成后发出中断,中断传入ARM处理器,使ARM处理器循环响应,配置多次任务。如果要对FIFO的数据重新缓存,就必须清空FIFO,在可配罝的TBU时间点上对寄存器复位,将输岀的数据从现在的釆样点写到FIFO的起始地址上。基带射

7、频接口采用多模式多带宽的数据接口支持相应的接口速率,其中CMOSTDD(互补金属氧化物半导体时分双工)模式使用两组12bit数据线,数据传输采用半双工方式,一个时钟周期可以发送双天线符号数据。在CMOSFDD(互补金属氧化物半导体频分双工)模式下,数据传输速率是CMOSTDD模式数据吞吐率的2倍。而LVDS(低电压差分信号)模式数据传输速率是CMOSTDD模式数据吞吐率的4倍。1搭建棊于UVM的基带射频接门电路验证平台3.1基带射频接口电路验证流程基带射频接口采用AXI总线机制分别对基带射频的接收通路模块和发送通路

8、模块进行验证,验证流程如图3所示。在验证环境中将软件接U数据包放置于virtualsequener,通过AXI接口俾送给axi_sequencer,驱动器会得到axi_sequence产生的激励信号,这些激励信号分别作用¥接收通路的验证设计和发送通路的验证设计。同时例化的两个axi_monitor不仅监视驱动器给射频接收和发送验证设计的数据流,而且可以监测r

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。