电子自动化(eda)课程设计

电子自动化(eda)课程设计

ID:20034852

大小:227.50 KB

页数:20页

时间:2018-10-09

电子自动化(eda)课程设计_第1页
电子自动化(eda)课程设计_第2页
电子自动化(eda)课程设计_第3页
电子自动化(eda)课程设计_第4页
电子自动化(eda)课程设计_第5页
资源描述:

《电子自动化(eda)课程设计》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、《电子密码锁》能力拓展训练能力拓展训练任务书学生姓名:专业班级:指导教师:工作单位:自动化学院题目:电子密码锁设计初始条件:计算机、Max+plusⅡ、EDA实验箱。要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求)设计一个电子密码锁,在锁开的状态下输入密码,设置的密码共4位,用数据开关K1~K10分别代表数字1,2,…,9,0,输入的密码用数码管显示,最后输入的密码显示在最右边的数码管上,即每输入一位数,密码在数码管上的显示左移一位。可删除输入的数字,删除的是最后输入的数字,每删除一位,密码在数码管的显示右移一位,并在左

2、边空出的位上补充“0”。用一位输出电平的状态代表锁的开闭状态。提高部分:为保证密码锁主人能打开密码锁,设置一个万能密码,在主人忘记密码时使用。任务安排:(1)设计任务及要求分析(2)方案比较及认证说明(3)系统原理阐述,写出设计方案结构图(4)软件设计课题需要说明:软件思想,流程图,源程序及程序注释(5)调试记录及结果分析(6)总结(7)参考资料5篇以上(8)附录:程序清单16《电子密码锁》能力拓展训练时间安排:8月31日:设计任务9月1日:收集资料,方案选择9月2日:程序设计9月3日:实验室内调试程序并演示9月4日:报告撰写9月11日:交设计报告主要

3、参考资料:[1]谭会生,张昌凡.EDA技术及应用.西安:西安电子科技大学出版社.2004指导教师签名:年月日系主任(或责任教师)签名:年月日16《电子密码锁》能力拓展训练摘要本次EDA能力拓展训练的开展,是为了将所学的知识更深入的扩展,提高自己的动手实践能力。本次训练的内容是一个简单的电子密码锁,要求完成电子密码锁的基本功能设计,并得出仿真运行结果。本次训练可以训练学生的电路设计能力,提高学生的硬件编程能力以及为学生打下良好的电子设计知识基础使得考研和找工作的同学在以后的工作学习中不至于太吃力。另外,本次训练还锻炼学生严谨的学习态度,提高学生作为一个设

4、计人员所应具有的素质,严谨认真的工作精神。关键词:密码锁译码按键输入仿真运行16《电子密码锁》能力拓展训练目录1设计内容12设计原理12.1按键输入环节22.2密码锁控制环节22.3译码显示环节32.4总电路设计43实验程序设计流程53.1按键输入环节程序53.2密码锁控制环节程序63.3译码显示环节程序104实验结果分析12小结与体会14参考文献1516《电子密码锁》能力拓展训练电子密码锁程序设计1设计内容设计一个简单的数字电子密码锁,密码为4位。用数据开关K1~K10分别代表数字1,2,3……9,0。输入的密码用数码显示,最后输入的密码显示在右边,

5、即每输入一位数,密码在数码管显示左移一位。同时可删除输入的数字,删除的是最后输入的数字,每删除一位,密码在数码管的显示右移一位,并在左边空出的为上补充“0”。用一位输出电平的状态代表所得开闭状态。为保证密码的主人能打开密码锁,设置一个万能密码。2设计原理实验中采用VHDL语言编程。通过文本编辑方式建立模块,通过原理图方式将生成的图形符号连接,然后再进行仿真。本次设计中主要使用的VHDL语言中的常用语句,大致包括IF语句、IF-ELSE语句、IF-THEN语句、CASE-WHEN语句、进程语句等,基本语句格式分别如下。IF条件表达式THEN语句方块END

6、IF;PROCESS(CLK)BEGINIFCLK’eventANDCLK=’1’THEN语句方块ENDIF;ENDPROCESS;CASE选择信号XISWHEN信号值1=>语句方块116《电子密码锁》能力拓展训练…WHENOTHERS=>语句方块NENDCASE<进程名称>:PROCESS<敏感信号表>进程说明区:说明用于该进程的常数,变量和子程序。BEGIN变量和信号赋值语句顺序语句ENDPROCESS<进程名称>;为达到密码锁设计要求,完成密码锁的以上功能,可将电子密码锁分为以下几个模块进行设计。2.1按键输入环节密码锁的密码设置是通过10个对应

7、按键完成的,故设置10个按键输入端口,分别对应10个按键用来录入密码。每完成一个输入,将按键对应的编号转为数据量送给控制环节以供使用。分配的按键1…9、0分别对应编号0000000000000001、0000000000000010…0000001000000000。按键输入电路图如图1所示,其中N[9..0]为按键输入端口,SOUT[3..0]为输出端口。按键输入编码由按键输入高低电平完成,每按一个键通过编码显示对应的数字。图1按键输入环节2.2密码锁控制环节密码锁控制环节设计要求完成密码的设置、删除、修改,并进行加锁,故输入信号中16《电子密码锁》

8、能力拓展训练设计设置信号SET、删除信号BACK、检验信号CHECK、关锁信号CLOSE;输出

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。