欢迎来到天天文库
浏览记录
ID:19996733
大小:145.50 KB
页数:3页
时间:2018-10-08
《eda-实验一eda软件及pld器件的设计应用》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库。
1、实验一、EDA软件及PLD器件的设计应用一.程序设计十进制计数器的程序libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entityCNT10isport(clk,rst,en:instd_logic;cq:outstd_logic_vector(3downto0);count:outstd_logic);endCNT10;architecturexuofCNT10isbeginprocess(clk,rst,en)var
2、iableCQI:std_logic_vector(3downto0);beginifrst='1'thenCQI:=(others=>'0');elsifclk'eventandclk='1'thenifen='1'thenifCQI<9thenCQI:=CQI+1;elseCQI:=(others=>'0');endif;endif;endif;ifCQI=9thencount<='1';elsecount<='0';endif;cq<=CQI;endprocess;endxu;七段数码显示译码器的设计LI
3、BRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYDECL7SISPORT(A:INSTD_LOGIC_VECTOR(3DOWNTO0);LED7S:OUTSTD_LOGIC_VECTOR(6DOWNTO0));END;ARCHITECTUREONEOFDECL7SISBEGINPROCESS(A)BEGINCASEAISWHEN"0000"=>LED7S<="0111111";WHEN"0001"=>LED7S<="0000110";WHEN"0010"=>LED7S<="
4、1011011";WHEN"0011"=>LED7S<="1001111";WHEN"0100"=>LED7S<="1100110";WHEN"0101"=>LED7S<="1101101";WHEN"0110"=>LED7S<="1111101";WHEN"0111"=>LED7S<="0000111";WHEN"1000"=>LED7S<="1111111";WHEN"1001"=>LED7S<="1101111";WHEN"1010"=>LED7S<="1110111";WHEN"1011"=>LED7S
5、<="1111100";WHEN"1100"=>LED7S<="0111001";WHEN"1101"=>LED7S<="1011110";WHEN"1110"=>LED7S<="1111001";WHEN"1111"=>LED7S<="1110001";WHENOTHERS=>NULL;ENDCASE;ENDPROCESS;END;2.波形图功能仿真波形图如下所示Endtime为50ns占空比50%period为1ns,得到下面两个波形图3.电路原理图电路图功能仿真波形图如下
此文档下载收益归作者所有