基于vhdl(eda技术)交通灯控制设计说明书

基于vhdl(eda技术)交通灯控制设计说明书

ID:19526945

大小:753.00 KB

页数:40页

时间:2018-10-03

基于vhdl(eda技术)交通灯控制设计说明书_第1页
基于vhdl(eda技术)交通灯控制设计说明书_第2页
基于vhdl(eda技术)交通灯控制设计说明书_第3页
基于vhdl(eda技术)交通灯控制设计说明书_第4页
基于vhdl(eda技术)交通灯控制设计说明书_第5页
资源描述:

《基于vhdl(eda技术)交通灯控制设计说明书》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、EDA技术课程设计课题:交通控制器设计系别:电气与电子工程系专业:电子信息工程姓名:王海军学号:123408133指导教师:梁成武河南城建学院2011年6月24日16成绩评定·一、指导教师评语(根据学生设计报告质量、答辩情况及其平时表现综合评定)。二、评分(按下表要求评定)评分项目设计报告评分答辩评分平时表现评分合计(100分)任务完成情况(20分)课程设计报告质量(40分)表达情况(10分)回答问题情况(10分)工作态度与纪律(10分)独立工作能力(10分)得分课程设计成绩评定班级姓名学号成绩:分(折合等级)指导教师签字年月日16目录一、设计目的-3-二、设计要求

2、-3-(2).熟悉掌握常用仿真开发软件,比如:QuartusII或XilinxISE的使用方法。-3-(4).学会用EDA技术实现数字电子器件组成复杂系统的方法;学习电子系统电路的安装调试技术。-4-三、总体设计原理与内容-4-1、设计内容-4-四、EDA设计及仿真-6-1、主控制程序-6-2、交通灯仿真结果及数据分析-10-五、硬件实现-11-1、给出硬件实现引脚图-11-2、硬件实现照片-13-六、设计总结-13-1、设计过程中遇到的问题及解决方法-13-2、设计体会-14-3、对设计的建议-14-七、设计生成的电路图(见附图)-14-参考文献-15-附图一-1

3、5-附图二-17-16一、设计目的通过对FPGA(现场可编程门阵列)芯片的设计实践,使学生掌握一般的PLD(可编程逻辑器件)的设计过程、设计要求、设计内容、设计方法,能根据用户的要求及工艺需要进行电子芯片设计并制定有关技术文件。培养学生综合运用已学知识解决实际工程技术问题的能力、查阅图书资料和各种工具书的能力、工程绘图能力、撰写技术报告和编制技术资料的能力,受到一次电子设计自动化方面的基本训练。培养学生利用EDA技术知识,解决电子设计自动化中常见实际问题的能力,使学生积累实际EDA编程。通过本课程设计的学习,学生将复习所学的专业知识,使课堂学习的理论知识应用于实践,

4、通过本课程设计的实践使学生具有一定的实践操作能力。1.了解交通灯的亮灭规律。2.了解交通灯控制器的工作原理。3.熟悉VHDL语言编程,了解实际设计中的优化方案。二、设计要求(1).以EDA技术的基本理论为指导,将设计实验分为基本功能电路和较复杂的电子系统两个层次,要求利用数字电路或者EDA方法去设计并完成特定功能的电子电路的仿真、软硬件调试;(2).熟悉掌握常用仿真开发软件,比如:QuartusII或XilinxISE的使用方法。(3).能熟练运用上述开发软件设计并仿真电路并下载到FPGA中进行调试;(4).学会用EDA技术实现数字电子器件组成复杂系统的方法;学习电

5、子系统电路的安装调试技术。三、总体设计原理与内容1、设计内容用EDA技术设计一个十字路口的交通信号灯控制器,控制A、B两条交叉道路上的车辆通行,具体要求如下:(1)、每条道路设一组信号灯,每组信号灯由红黄绿3个灯组成,绿灯表示允许通行红灯表示禁止通行,黄灯表示该车道上已过停车线的车辆继续通行,未过停车线的车辆停止通行。(2)、每条道路上每次通行的时间为25s。(3)、每次变换通行车道之前,要求黄灯先亮5s,才能变换通行车道。(4)、黄灯亮时,要求每秒钟闪烁一次。2、设计的总体原理16图3-1交通灯系统控制框图图3-2交通信号灯的控制电路16图3-3交通灯的时间转向图

6、四、EDA设计及仿真1、主控制程序Libraryieee;Useieee.std_logic_1164.all;Useieee.std_logic_unsigned.all;Useieee.std_logic_arith.all;EntitycontrollerisPort(clk8:instd_logic;Reset:instd_logic;hold:instd_logic;reda,greena,yellowa:outstd_logic;redb,greenb,yellowb:outstd_logic;displaya,displayb,displayc,dis

7、playd:outstd_logic_vector(0to6));end;architecturecontrolofcontrollerissignalcountnum:integerrange0to50;Signalclk:std_logic;Signalnuma,numb,numc,numd:integerrange0to9;signalnoa,nob:integerrange0to25;SignalQina,qinb,qinc,qind:std_logic_vector(3downto0);signalclock:std_logic;signalclock

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。