基于eda的vhdl语言设计的交通灯

基于eda的vhdl语言设计的交通灯

ID:3144501

大小:508.29 KB

页数:16页

时间:2017-11-19

基于eda的vhdl语言设计的交通灯_第1页
基于eda的vhdl语言设计的交通灯_第2页
基于eda的vhdl语言设计的交通灯_第3页
基于eda的vhdl语言设计的交通灯_第4页
基于eda的vhdl语言设计的交通灯_第5页
资源描述:

《基于eda的vhdl语言设计的交通灯》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、玉林师范学院本科生课程设计论文基于VerilogHDL的RS编码器设计院系电子与通信工程学院专业通信工程学生班级通信111班姓名韦仁良学号201108402123指导教师单位电子与通信工程学院指导教师姓名陈宇宁【摘要】随着社会上特别是城市中机动车辆保有量的不断增加,在现代城市的日常运行控制中,车辆的交通控制越来越重要,在十字交叉路口,越来越多的使用红绿灯进行交通指挥和管理。本文以VHDL硬件描述语言为设计手段,完成了交通信号灯控制电路的设计,其中交通信号灯控制电路的开发目的是设计一个适用于主、支干道十字交叉路口的红黄绿交通灯的控制系统

2、,通过合理设计系统功能,使红黄绿灯的转换有一个准确的时间间隔和转换顺序。所设计的交通信号灯控制电路经过在QuartusⅡ软件下进行模拟仿真,观察其波形,证明所设计的交通信号灯控制电路完全可以实现预定的功能,并有一定的实用性。【关键词】VHDL;QuartusⅡ;交通灯【题目要求】用有限状态机设计一个交通灯控制器,设计要求:A路和B路,每路都有红、黄、绿三种灯,持续时间为:红灯45s、黄灯5s、绿灯40s。A、B路交通灯的转换状态是:(1)A红、B绿(持续时间40s);(2)A红、B黄(持续时间5);(3)A绿、B红(持续时间40s);

3、(4)A黄、B红(持续时间5s);【系统总体设计原理】4000Hz分频器发光二极管交通灯控制器50MHz1秒分频器外部时钟显示模块数码管该系统主要由分频模块fen50m_1s、控制模块traffic_control、转换模块bin2bcd以及显示模块display电路构成。其中分频模块fen50m_1s主要将系统输入的基准时钟信号转换为1Hz的激励信号,驱动控制模块工作。控制模块traffic_control根据计数情况对交通灯的亮灭及持续时间进行控制。转换模块bin2bcd将控制模块设计的亮灯时间的二进制转换为bcd码。显示模块di

4、splay主要将亮灯时间以倒计时的形式通过数码显示出来【时钟分频模块】系统时钟脉冲为50MHz,为满足各个模块脉冲需求,需要分频成2Hz和4000Hz的脉冲。fen50m_1s模块设计,实现频率由50MHz到2Hz的转变,达到我们需要的1s的要求,模块如下图:分频器一端口说明:clkin:输入50MHz时钟脉冲clkout:输出2Hz脉冲fen50m_1s实现Verilog语言描述如下:modulefen50m_1s(clkin,clkout);inputclkin;outputclkout;regclkout;reg[24:0]q;

5、always@(posedgeclkin)beginif(q==24999999)beginq<=0;clkout<=~clkout;endelseq<=q+1;endendmodule编译结果:分频器二fen50m_4000模块设计,实现频率由50MHz到4000Hz的转变分频器二端口说明:clkin:输入50MHz时钟脉冲clkout:输出4000Hz脉冲分频器fen50m_4000模块实现程序:modulefen50m_4000(clkin,clkout);inputclkin;outputclkout;regclkout;re

6、g[24:0]q;always@(posedgeclkin)beginif(q==12499)beginq<=0;clkout<=~clkout;endelseq<=q+1;endendmodule编译结果:【交通灯控制及计时模块】端口说明:Clock:输入时钟信号,上升沿有效。Reset:复位信号,高电平有效。Red1、yellow1、green1:分别表示A路的红灯、黄灯、绿灯显示信号,高电平有效。Red2、yellow2、green2:分别表示B路的红灯、黄灯、绿灯显示信号,高电平有效。Timea:A路绿灯亮时间,高电平有效。T

7、imeb:B路红灯亮时间,高电平有效。Alarm:倒计时信号输出实现程序:moduletraffic_control(clock,reset,red1,yellow1,green1,red2,yellow2,green2,timea,timeb,alarm);inputclock,reset;outputred1,yellow1,green1,red2,yellow2,green2,alarm;output[7:0]timea,timeb;reg[1:0]state=2'b00;reg[7:0]timea=40;//A路绿灯亮时间re

8、g[7:0]timeb=45;//B路红灯亮时间regred1=1'b0,yellow1=1'b0,green1=1'b1;//A绿灯亮regred2=1'b1,yellow2=1'b0,green2=1'b0;//B红

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。