交通灯控制器的设计(eda设计,vhdl语言,毕业设计)

交通灯控制器的设计(eda设计,vhdl语言,毕业设计)

ID:867919

大小:1.28 MB

页数:26页

时间:2017-09-21

交通灯控制器的设计(eda设计,vhdl语言,毕业设计)_第1页
交通灯控制器的设计(eda设计,vhdl语言,毕业设计)_第2页
交通灯控制器的设计(eda设计,vhdl语言,毕业设计)_第3页
交通灯控制器的设计(eda设计,vhdl语言,毕业设计)_第4页
交通灯控制器的设计(eda设计,vhdl语言,毕业设计)_第5页
资源描述:

《交通灯控制器的设计(eda设计,vhdl语言,毕业设计)》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、成绩课程设计说明书课程设计名称:EDA技术课程设计题目:交通灯控制器的设计学院:电气信息学院学生姓名:***专业:测控技术与仪器学号:***指导教师:***日期:2010年6月18日交通灯控制器的设计摘要:本设计是基于EDA技术的交通灯控制器的设计。该设计通过用数字信号自动控制十字路口交通灯状态转换的方法,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。在本次课程设计里,用VHDL语言为基础来实现设计交通控制信号灯,在QuartusII软件完成其仿真,实现主干和枝干道上的红绿灯转换。关键词:交通信号灯,VHDL,仿真Abstract:T

2、hisdesignisbasedonEDAtechnology,trafficlightcontroller.Thedesignwithdigitalsignalcontrolintersectionsbytrafficlightstatetransitionmethod,thecommandofvariousvehiclesandpedestrianssafepassage,acrossroadsoftrafficmanagementtoachieveautomation.Inthiscurriculumdesign,theuseofVHDLl

3、anguage-basedapproachtodesigntrafficcontrolsignal,theQuartusIIsoftwaretocompletethesimulation,realizationofthetrunkandbranchesRoadtothetrafficlightschange.Keywords:Street-trafficcontrollights,VHDL,Simulation目录1绪论11.1设计背景11.2设计目标12总体方案设计22.1方案比较22.2方案论证32.3方案选择43EDA和可编程技术概述53.

4、1EDA技术及其发展53.2可编程逻辑器件概述53.3硬件描述语言介绍63.4软件开发工具QuartusII简介64单元模块设计74.1时钟脉冲发生电路74.2计时/倒计数电路84.3红绿灯信号控制电路124.4建立程序包及连接模块145系统调试165.1硬件实验方案165.2调试过程和结果176结论187总结与体会198谢辞209参考文献21附录思考题221绪论不同的城市有不同城市的问题,但共性就是混合交通流问题。在交叉口如何解决混合交通流中的相互影响或彼此的相互影响,就是解决问题的关键!随着我国城市化建设的发展,越来越多的新兴城市的出现,使得

5、城市的交通成为了一个主要的问题。同时随着我国经济的稳步发展,人民的生活水平日渐提高,越来越多的汽车进入寻常老百姓的家庭,再加上政府大力发展的公交、出租车,车辆越来越多了。这不仅要求道路要越来越宽阔,而且要求有新的交通管理模式的出台。旧有的交通控制系统的弊病和人们越来越高的要求激化了矛盾,使原来不太突出的交通问题被提上了日程。现在有关部门愈来愈多的注重在交通管理中引进自动化、智能化技术,比如“电子警察”、自适应交通信号灯以及耗资巨大的交通指挥控制系统等。随着经济的发展和社会的进步,道路交通已愈来愈成为社会活动的重要组成部分。对交通的管控能力,也就从

6、一个侧面体现了这个国家对整个社会的管理控制能力,因此各国都很重视用各种高科技手段来强化对交通的管控能力。1.1设计背景随着城市机动车量的不断增加,许多大城市如北京、上海、南京等出现了交通超负荷运行的情况,因此,自80年代后期,这些城市纷纷修建城市高速道路,在高速道路建设完成的初期,它们也曾有效地改善了交通状况。然而,随着交通量的快速增长和缺乏对高速道路的系统研究和控制,高速道路没有充分发挥出预期的作用。而城市高速道路在构造上的特点,也决定了城市高速道路的交通状况必然受高速道路与普通道路耦合处交通状况的制约。因此,开发一套能够社会服务的交通灯控制器

7、将是非常必要的,也是十分及时的。1.2设计目标通过采用VHDL语言对交通灯控制器的设计,使交通灯控制电路用数字信号自动控制十字路口两组红、黄、绿交通灯的状态转换的方法,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。绿灯亮时,准许车辆通行,但转弯的车辆不得妨碍被放行的直行车辆、行人通行,黄灯亮时,已越过停止线的车辆可以继续通行,红灯亮时,禁止车辆通行。1总体方案设计通过查阅大量相关技术资料,并结合自己的实际知识,主要提出了三种技术方案来实现系统功能。下面我将首先对这三种方案的组成框图和实现原理分别进行说明,并分析比较它们的特点,然后阐述

8、最终选择方案的原因。1.1方案比较城市路口交通信号控制系统大体上分为三种类型:传统数字逻辑电路、可编程序控制器和单片机等方案来实现。具体

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。