《eda技术与应用》a卷及答案new

《eda技术与应用》a卷及答案new

ID:18556814

大小:66.00 KB

页数:7页

时间:2018-09-18

《eda技术与应用》a卷及答案new_第1页
《eda技术与应用》a卷及答案new_第2页
《eda技术与应用》a卷及答案new_第3页
《eda技术与应用》a卷及答案new_第4页
《eda技术与应用》a卷及答案new_第5页
资源描述:

《《eda技术与应用》a卷及答案new》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、汕头大学成人教育学院二0一0年春季学期期末考试试卷试卷编号:A卷闭卷课程名称:《EDA技术与应用》班级专业:姓名:学号:一、填空题(20分,每小题1分)1.VHDL的中文名称是__超高速集成电路硬件描述语言_____________。2.用EDA技术进行电子系统设计的目标是最终完成asic________的设计与实现。3.可编程器件分为fpga__和_cpld______。4.标准逻辑位数据类型常用的数值有_1__、__0_、_z__等。5.在VHDL语言中可以使用的数据类型有:_位____、__标准逻辑位___

2、_______、___布尔_____。6.完整的条件语句将产生_组合_______电路,不完整的条件语句将产生__时序______电路。7.信号的赋值符号为<=___变量的赋值符号为=___。8.随着EDA技术的不断完善与成熟,___自顶向下______的设计方法更多的被应用于VHDL设计当中。9.EDA设计过程中的仿真有三种,它们是___行为_____仿真、_逻辑______仿真和__时序____仿真。10.目前国际上较大的PLD器件制造公司有__altera________和___xilinx______公司

3、。二、简答题(20分,每小题4分)1、与HDL文本输入法相比较,原理图输入法有何优点?72、写出结构体的一般语言格式并说明其作用3、信号和变量的区别?4、写出PROCESS语句结构的一般表达格式。5、写出五种以上的VHDL的预定义数据类型。三、程序注解(20分,每空1分)libraryieee;__________useieee.std_logic_1164.all;_____________ENTITYaa1is__________port(a,b,s:inbit;_______________________

4、________7endaa1;___________________________architectureoneofaa1is_________y<=awhens='0'elseb;____________________endone;_____________________________________逻辑功能:____________________________signals1:bit;_________________________begin__________________________

5、______process(clk,d)_______________________beginif(clk=‘1’)_____________________________then______________________________________s1<=d;________________________________endif;_________________________________q<=s1;_____________________________endprocess;______

6、_____________________endbo;__________________________逻辑功能:__________________四、VHDL语言编程题(1、2小题10分,3小题20分)1、编写一个D触发器的硬件描述语言程序,要求实现上升沿触发。72.设计一个带有复位控制端和时钟使能控制端的10进制计数器。3、下图是4选1多路选择器,试分别用IF_THEN语句或CASE语句的表达方式写出此电路的VHDL程序。选择控制的信号s1和s0的数据类型为STD_LOGIC_VECTOR;当s1='0'

7、,s0='0';s1='0',s0='1';s1='1',s0='0'和s1='1',s0='1'分别执行y<=a、y<=b、y<=c、y<=d。7《EDA技术与应用》A卷答案:一、填空题(20分,每小题1分)1、超高速集成电路硬件描述语言2、ASIC3、FPGA和CPLD。4、‘1’、‘0’、‘z’5、位、标准逻辑位、布尔。6、组合,时序7、<==。8、自顶向下9、行为、逻辑和时序10、Altera和Xilinx二、简答题(20分,每小题4分)1、与HDL文本输入法相比较,原理图输入法有何优点?①设计者不需增加

8、新的相关知识,如HDL等。②输入方法与用protel作图相似,设计过程形象直观,适合初学者入门。③对于较小的电路模型,其结构与实际电路十分接近,设计者易于把握电路全局(适合设计小型数字电路)。④设计方式接近于底层电路布局,因此易于控制逻辑资源的耗用,节省面积。2、写出结构体的一般语言格式并说明其作用ARCHITECTURE结构体名OF实体名IS[说明语句]BEGIN[功能

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。