eda技术实验指导(09-10)new

eda技术实验指导(09-10)new

ID:18518411

大小:4.76 MB

页数:9页

时间:2018-09-18

eda技术实验指导(09-10)new_第1页
eda技术实验指导(09-10)new_第2页
eda技术实验指导(09-10)new_第3页
eda技术实验指导(09-10)new_第4页
eda技术实验指导(09-10)new_第5页
资源描述:

《eda技术实验指导(09-10)new》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、实验一QuartusⅡ文本输入法设计时序逻辑电路(1)实验目的:熟悉QuartusⅡ的VHDL文本设计过程,学习简单时序电路的设计、仿真和硬件测试。(2)实验内容:Ⅰ.用VHDL设计一个D触发器,并利用QuartusⅡ进行编辑输入、编译及仿真。Ⅱ.对Ⅰ进行引脚锁定及硬件下载测试。建议选择实验电路模式5(参考附图F-5),用键1(PIO0,引脚号为1)控制输入信号D;时钟信号CLK接clock0(引脚号为93);输出信号Q接发光二极管D1(PIO8,引脚号为11)。最后进行编译、下载和硬件测试实验(通过按键1和时钟信号,控制发光二极管的亮灭)。(

2、3)实验报告:1.给出本实验的设计程序、仿真波形报告及其分析说明、硬件测试的结果。9实验二QuartusⅡ文本输入法设计组合逻辑电路(1)实验目的:熟悉QuartusⅡ的VHDL文本设计流程全过程,学习简单组合逻辑电路的设计、多层次电路的设计、仿真和硬件测试。(2)实验内容:Ⅰ.利用QuartusⅡ完成2选1多路选择器的文本编辑输入、编译和仿真测试,给出仿真波形分析说明。【参考程序】:ENTITYmux21aISPORT(a,b,s:INBIT;y:OUTBIT);ENDENTITYmux21a;ARCHITECTUREoneOFmux21aI

3、SBEGINPROCESS(a,b,s)BEGINIFs=‘0'THENy<=a;ELSEy<=b;ENDIF;ENDPROCESS;ENDARCHITECTUREone;Ⅱ.将实验Ⅰ中的多路选择器看成是一个元件mux21a,利用元件例化语句实现图2-1,并将此文件放在同一文件夹中。最后进行编译、仿真,给出仿真波形分析说明。图2-1双2选1多路选择器9【参考程序】:entityMUXKisport(a1,a2,a3:inbit;s0,s1:inbit;outy:outbit);endentityMUXK;architectureoneofMUX

4、Kiscomponentmux21aisport(a,b,s:inbit;y:outbit);endcomponent;signaltmp:bit;beginu1:mux21aportmap(a=>a2,b=>a3,s=>s0,y=>tmp);u2:mux21aportmap(a=>a1,b=>tmp,s=>s1,y=>outy);endarchitectureone;Ⅲ.对Ⅱ进行引脚锁定及硬件下载测试。建议选择实验电路模式5(参考附图F-5),用键1(PIO0,引脚号为1)控制s0;用键2(PIO1,引脚号为2)控制s1;a3、a2和a1分别

5、接clock5(引脚号为16)、clock0(引脚号为93)和clock2(引脚号为17);输出信号outy接扬声器spker(引脚号为129)。通过短路帽选择clock5接1024Hz信号,clock0接256Hz信号,clock2接8Hz信号。最后进行编译、下载和硬件测试实验(通过选择键1、键2、控制s0、s1,可使扬声器输出不同音调)。(3)实验报告:1.实验Ⅰ的仿真波形报告分析说明。2.实验Ⅱ的完整程序及程序分析说明。3.实验Ⅱ的仿真波形报告分析说明。4.实验Ⅲ硬件测试的详细实验说明。9实验三QuartusⅡ图形输入法设计逻辑电路(1)

6、实验目的:熟悉使用QuartusII的原理图输入方法设计简单组合逻辑电路,掌握层次化原理图设计的方法。(2)实验原理:一个1位全加器可以由两个半加器和一个或门构成,其电路原理图如下:图3-1半加器原理图表3-1半加器真值表absoco0000011010101101图3-2全加器原理图9(3)实验内容Ⅰ.完成半加器的设计,包括原理图输入、编译、仿真,并将此半加器电路设置成一个硬件符号入库。Ⅱ.建立一个更高层次的原理图文件,利用以上获得的半加器构成1位全加器,并完成编译、仿真及硬件测试。建议选择电路模式5(参考附图F-5),键1、键2、键3(PI

7、O0/1/2)分别接ain、bin、cin,发光管D2、D1(PIO9/8)分别接sum和cout。(4)实验报告1.给出实验Ⅰ的仿真波形报告分析。2.给出实验Ⅱ的仿真波形报告分析。3.分别给出实验Ⅰ和实验Ⅱ的硬件测试过程说明和结果分析。9实验四微分电路仿真微分电路如图4-1所示。运放采用uA741,电源电压Vcc=15V,Vee=-15V,电容的初始电压为0V。当输入电压Vsin为正玄信号且其属性为VOFF=TD=DF=0,PHASE=0,VAMPL=1V,FREQ=500Hz时,求输出Vout的波形。图4-1微分电路9实验五晶体管放大电路仿

8、真图5-1所示电路为二级电压串联负反馈放大电路。其中,激励源Vs的属性参数为默认值,三极管Q2N3904的模型参数为默认值。图5-1放大电路电路图元件

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。