上机实验-vhdl程序设计

上机实验-vhdl程序设计

ID:14347506

大小:688.00 KB

页数:12页

时间:2018-07-28

上机实验-vhdl程序设计_第1页
上机实验-vhdl程序设计_第2页
上机实验-vhdl程序设计_第3页
上机实验-vhdl程序设计_第4页
上机实验-vhdl程序设计_第5页
资源描述:

《上机实验-vhdl程序设计》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、上机实验2:VHDL程序设计一、实验目的:1.掌握VHDL程序设计方法2.熟悉Quartus_II9.0的使用二、实验工具:Quartus_II9.0三、上机内容:本上机实验采用VHDL描述一个基本的数字逻辑单元(数据选择器、加法器、计数器等),在Quartus_II9.0中进行仿真,并观察逻辑综合后得到的RTL图。一、VHDL程序设计(1)实体(说明):定义系统的输入输出端口语法:ENTITYISGenericDeclarationsPortDeclarationsEND;(

2、1076-1987version)ENDENTITY(2)结构体作用:定义系统(或模块)的行为、元件及内部的连接关系,即描述其逻辑功能。两个组成部分:·对数据类型、常数、信号、子程序、元件等元素的说明部分。·以各种不同的描述风格描述的系统的逻辑功能实现的部分。常用的描述风格有:行为描述、数据流描述、结构化描述。结构体的语法:architecture结构体名称of实体名称is[说明语句]内部信号、常数、数据类型、子程序(函数、过程)、元件等的说明;begin[并行处理(功能描述)语句];end[archi

3、tecture]结构体名称;(3)程序包、库程序包:已定义的常数、数据类型、元件调用说明、子程序的一个集合。目的:方便公共信息、资源的访问和共享。库:多个程序包构成库。(4)VHDL并发信号赋值语句并发信号赋值语句就是应用于结构体中进程和子程序之外的一种基本信号赋值语句,它与信号赋值语句的语法结构是完全一样的。作为一种并行描述语句,结构体中的多条并发信号赋值语句是并行执行的,它们的执行顺序是与书写顺序无关的。(5)VHDL条件信号赋值语句在VHDL中,条件信号赋值语句是指根据不同条件将不同的表达式赋给目标信号的一种并行信号赋值语

4、句,它是一种应用较为广泛的信号赋值语句。一般来说,条件信号赋值语句的语法结构如下所示:目标信号<=表达式1WHEN条件1ELSE表达式2WHEN条件2ELSE表达式3WHEN条件3ELSE……表达式n-1WHEN条件n-1ELSE表达式n;程序执行到该语句时首先要进行条件判断,然后根据不同条件的判断情况来将不同的表达式赋给目标信号。如果条件满足,那么就将条件前面的那个表达式的值赋给目标信号;如果条件不满足,那么就去判断下一个条件。可以看出,语法结构中的最后一个表达式没有条件,它表示当前面的所有条件都不满足时,程序就将表达式n的值

5、赋给目标信号。使用条件信号赋值语句需要注意以下几个方面:1)只有当条件满足时,语句才能将这个条件前面的表达式赋给目标信号。2)语句是一种并行描述语句,它不能在进程和子程序中使用。3)语句对条件进行判断是有顺序的,位于语句前面的条件具有较高的优先级04)语句中最后一个表达式的后面不含有WHEN子句。5)语句中条件表达式的结果为boolean型数值,同时允许条件重叠。6)条件信号赋值语句不能进行嵌套,因此它不能生成锁存器。(6)VHDL选择信号赋值语句在VHDL中,选择信号赋值语句是指根据选择条件表达式的值将不同的表达式赋给目标信号

6、的一种并行信号赋值语句。选择信号赋值语句的语法结构如下所示:WITH选择条件表达式SELECT目标信号。表达式1WHEN选择条件1,表达式2WHEN选择条件2,表达式3WHEN选择条件3,……表达式nWHEN选择条件n;程序执行到该语句时首先要进行选择条件表达式的判断,然后根据条件表达式的值来决定将哪一个表达式赋给目标信号。如果选择条件表达式的值符合某一个选择条件,那么就将该选择条件前面的表达式赋给目标信号;如果选择条件表达式的值不符合某一个选择条件,那么程序就去继续判断下一个选择条件,直到找到满足的选择条件为止。在编写VHDL

7、程序的过程中,使用选择信号赋值语句需要注意以下几个方面:1)只有当条件表达式的值满足选择条件时,语句才能将前面的表达式赋给目标信号。2)语句是一种并行描述语句,它不能在进程和子程序中使用。3)语句中的表达式后面都含有WHEN子句。4)语句对选择条件的测试是同时进行的,因此不允许选择条件重叠。5)语句中的选择条件不允许出现涵盖不全的情况。(7)VHDL顺序描述语句并行描述语句是用于表示算法模块间的连接关系的语句,而顺序描述语句则是用于实现模块的算法部分的语句。除了提供大量的并行描述语句外,VHDL还提供了很多顺序描述语句。在VHD

8、L中,顺序描述语句只能出现主进程、过程和函数中,功能是用来实现进程、过程和函数的具体算法或者控制程序流程。顺序描述语句,顾名思义,是指语句的执行顺序是完全按照书写顺序来进行的,同时前面语句的执行结果会对后面语句的执行结果产生影响。另外,许多参考文献将顺序描述语句

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。