哈工大电信学院eda课程设计通用通信信号源设计 学位论文 .doc

哈工大电信学院eda课程设计通用通信信号源设计 学位论文 .doc

ID:13585626

大小:1.21 MB

页数:64页

时间:2018-07-23

哈工大电信学院eda课程设计通用通信信号源设计 学位论文 .doc_第1页
哈工大电信学院eda课程设计通用通信信号源设计 学位论文 .doc_第2页
哈工大电信学院eda课程设计通用通信信号源设计 学位论文 .doc_第3页
哈工大电信学院eda课程设计通用通信信号源设计 学位论文 .doc_第4页
哈工大电信学院eda课程设计通用通信信号源设计 学位论文 .doc_第5页
资源描述:

《哈工大电信学院eda课程设计通用通信信号源设计 学位论文 .doc》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、HarbinInstituteofTechnology课程设计说明书(论文)课程名称:课程设计І设计题目:通用通信信号源设计院系:电子信息与工程学院班级:电子信息2班设计者:王珊珊学号:110520204指导教师:赵雅琴设计时间:2014年3月13日至2014年5月10日哈尔滨工业大学2013年6月10日哈尔滨工业大学课程设计任务书姓名:王珊珊院(系):电子信息与工程学院专业:电子信息工程班号:1105202任务起至日期2014年3月13日至2014年5月10日课程设计题目:通用通信信号源设计已知技术参数和设计要求:设计一个通用通信信号源。技术参数:(1)可以产

2、生的信号类型:方波、三角波、正弦波、锯齿波;AM、FM、ASK、FSK、PSK、16QAM、GMSK(2)基带信号频率范围:0~1MHz可调(3)射频频率范围:0~300MHz可调(4)要求给出系统方案的数学模型,分别采用MATLAB和QuartusII进行仿真,给出采用MATLAB实现每种信号的仿真波形,给出采用QUARTUSII仿真得到的PSK的仿真图。提交材料:(1)纸质报告;(2)PPT;(3)电子版报告、所有EDA仿真源文件、PPT,以“学号+姓名命名”所有电子版文件由班长收齐后压缩成一个文件,以班级号命名,发送到老师的邮箱:Yaqin_zhao@16

3、3.com工作量:1.查找资料,设计论证方案:阐明所选设计方案优点,给出系统框图。2.具体说明各部分电路图的工作原理,具体各个电路选择、元器件选择和数值计算。3.仿真验证:MATLAB的程序清单、和QuartusII编程文件(原理图,或VHDL源程序及框图),给出采用MATLAB和QuartusII仿真结果4.绘制电路原理图5.绘制印刷电路图6.元器件列表7.编写调试操作8.打印论文工作计划安排:1.查找资料、设计论证方案具体各个电路选择、元器件选择和数值计算绘制电路原理图一周2.电路仿真与设计计算一周3.绘制印刷电路图、元器件列表一周4.编写调试操作、打印论文

4、一周同组设计者及分工:每人一组单独完成指导教师签字___________________2013年5月15日教研室主任意见:教研室主任签字___________________2012年5月25日*注:此任务书由课程设计指导教师填写哈尔滨工业大学课程设计说明书(论文)摘要在通信系统的科研实验中,常常需要用到多种不同频率的信号,如正弦波、三角波、方波和锯齿波等,因此多波形信号发生器的应用十分广泛。传统的波形发生器多采用模拟分立元件实现,产生的波形种类要受到电路硬件的限制,体积大,灵活性和稳定性也相对较差。近年来,以数字技术为基础的波形发生器得到了飞速的发展,性能指

5、标都达到了一个新的水平。现场可编程门阵列器件具有容量大、运算速度快、现场可编程等优点,使得许多复杂的电路有了新的实现途径,越来越被广泛地应用到实际系统中。本文基于DDS(直接数字频率合成原理)及FPGA技术,利用QuartusII9.0软件和Matlab数学工具,配合相应外围器件实现通用通信信号源设计,电路结构简单、易于扩展,具有极大的灵活性和方便性。实现的通用通信信号源可产生正弦波、三角波、锯齿波和方波信号,输出信号频率在一范围内可调。而且可以实现AM、FM、ASK、FSK、PSK、16QAM、GMSK功能。完成了部分功能的软硬件仿真,并用AltiumDesi

6、gner制作了PCB板。关键词:通信;频率;信号;正弦波;方波;三角波;锯齿波;波形发生;FPGA;DDS;Quartus;Matlab;电路;仿真。-14-哈尔滨工业大学课程设计说明书(论文)目录摘要……………………………………………………………………………….…………….Ⅰ第1章设计原理11.1DDS模块11.2信号发生模块31.2.1正弦波的产生31.2.2锯齿波的产生51.2.3方波波的产生51.2.4三角波的产生61.2.5波形选择VHDL设计61.2.6顶级原理图设计及波形仿真71.3调制模块121.3.1AM调制121.3.2FM调制151.3.3

7、ASK调制171.3.4FSK调制181.3.5PSK调制191.3.616QAM调制211.3.7GMSK调制271.3.8控制模块设计301.3.9顶层原理图设计31第2章PCB板制作33参考文献37-14-哈尔滨工业大学课程设计说明书(论文)第1章设计原理设计主要分为三个模块进行:DDS直接数字频率合成模块;信号发生模块;调制功能模块。下面分别对三个模块进行介绍。1.1DDS模块直接数字频率合成是基于奈奎斯特抽样定理和数字波形合成原理而发展起来的一种数字化的频率合成技术。根据该定理,对于1个周期的连续波形信号,可以沿着其相位轴方向,以等量的相位间隔对其进行

8、相位/幅度采样,得到1个

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。