哈工大电信学院-EDA课程设计-通用通信信号源设计

哈工大电信学院-EDA课程设计-通用通信信号源设计

ID:43711781

大小:1.32 MB

页数:44页

时间:2019-10-13

哈工大电信学院-EDA课程设计-通用通信信号源设计_第1页
哈工大电信学院-EDA课程设计-通用通信信号源设计_第2页
哈工大电信学院-EDA课程设计-通用通信信号源设计_第3页
哈工大电信学院-EDA课程设计-通用通信信号源设计_第4页
哈工大电信学院-EDA课程设计-通用通信信号源设计_第5页
资源描述:

《哈工大电信学院-EDA课程设计-通用通信信号源设计》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、HarbinInstituteofTechnology课程设计说阴书f论^文丿课程名称:课程设计I设计題目:院班级:计者:学号:通用通信信号源设计电子信息与工程学院电子信息2班王珊珊110520204指导教师:赵雅琴设计时间:2014年3刀13日至2014年5刀10日哈尔滨工业大学2013年6月10日哈尔滨工业大学课程设计任务书姓名:王珊珊院(系):电了信息与工程学院专业:电子信息工程班号:1105202任务起至日期2014年3月13H至2014年5月10H课程设计题目:通用通信信号源设计已知技术参数和设计要求:设计

2、一个通用通信信号源。技术参数:(1)可以产生的信号类型:方波、三角波、正弦波、锯齿波;AM、FM、ASK、FSK、PSK、16QAM、GMSK(2)基带信号频率范围:0~1MHz可调(3)射频频率范围:0〜300MHz可调(4)要求给出系统方案的数学模型,分别采用MATLAB和QuartusII进行仿真,给出采用MATLAB实现每种信号的仿真波形,给出采用QUARTUSII仿真得到的PSK的仿真图。提交材料:(1)纸质报告;(2)PPT;⑶电子版报告、所有EDA仿真源文件、PPT,以“学号+姓名命名"所有电子版文件由

3、班长收齐后压缩成一个文件,以班级号命名,发送到老师的邮箱:Yaqinzhao@163.com工作量:1.查找资料,设计论证方案:阐明所选设计方案优点,给出系统框图。2.具体说明各部分电路图的工作原理,具体各个电路选择、元器件选择和数值计算。3.仿真验证:MATLAB的程序清单、和QuartusII编程文件(原理图,或VHDL源程序及框图),给出采川MATLAB和QuartusII仿真结果4.绘制电路原理图1.绘制印刷电路图2.元器件列表3.编写调试操作&打印论文工作计划安排:1.查找资料、设计论证方案具体各个电路选择

4、、元器件选择和数值计算绘制电路原理图一周2.电路仿真与设计计算一周3.绘制印刷电路图、元器件列表一周4.编写调试操作、打印论文一周同组设计者及分工:每人一组单独完成指导教师签字2013年5月15日教研室主任意见:教研室主任签字2012年5刀25日堆:此任务书由课程设计指导教师填写摘要在通信系统的科研实验屮,常常需要用到多种不同频率的信号,如正弦波、三角波、方波和锯齿波等,因此多波形信号发牛器的应用十分广泛。传统的波形发生器多采用模拟分立元件实现,产生的波形种类要受到电路硬件的限制,体积大,灵活性和稳定性也相对较差。近

5、年来,以数字技术为基础的波形发生器得到了飞速的发展,性能指标都达到了一个新的水平。现场可编程门阵列器件具有容量大、运算速度快、现场可编程等优点,使得许多复朵的电路冇了新的实现途径,越来越被广泛地应用到实际系统屮。本文基于DDS(直接数字频率合成原理)及FPGA技术,利用QuartusII9.0软件和Matlab数学工具,配合相应外围器件实现通用通信信号源设计,电路结构简单、易于扩展,具有极大的灵活性和方便性。实现的通用通信信号源可产生正弦波、三角波、锯齿波和方波信号,输出信号频率在一范围内可调。而且可以实现AM、FM

6、、ASK、FSK、PSK>16QAM、GMSK功能。完成了部分功能的软硬件仿真,并用AltiumDesigner制作了PCB板。关键词:通信;频率;信号;正弦波;方波;三角波;锯齿波;波形发生;FPGA;DDS;Quartus:Matlab;电路;仿真。目录摘要I第1章设计原理-1-1」DDS模块・1・1.2信号发生模块-3-121正弦波的产生-3-1.2.2锯齿波的产生-5-1.2.3方波波的产生-5-1.2.4三角波的产生-6-1.2.5波形选择VHDL设计・7・1.2.6顶级原理图设计及波形仿真-8-1.3调制

7、模块-12-1.3.1AM调制-12-1.3.2FM调制-15-1.3.3ASK调制・17・1.3.4FSK调制-18-1.3.5PSK调制-19-1.3.616QAM调制-21-1.3.7GMSK调制-28-1.3.8控制模块设计-30-1.3.9顶层原理图设计・31・第2章PCB板制作-34-参考文献-38-第1章设计原理设计主要分为三个模块进行:DDS直接数字频率合成模块;信号发生模块;调制功能模块。下面分别对三个模块进行介绍。1.1DDS模块直接数字频率合成是基于奈奎斯特抽样定理和数字波形合成原理而发展起来的

8、一种数字化的频率合成技术。根据该定理,对于1个周期的连续波形信号,可以沿着其相位轴方向,以等量的相位间隔对其进行相位/幅度采样,得到1个周期性的波形信号的离散相位的幅度序列,并对模拟幅度进行量化,对量化后的幅度采用相应的二进制数据进行编码。这样就可以把1个周期性的连续信号转换成1系列离散的二进制序列,最后把它存储在只读存储器屮,每个存储单元的地

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。