基于fpga的spwm论文

基于fpga的spwm论文

ID:1259654

大小:1.28 MB

页数:28页

时间:2017-11-09

基于fpga的spwm论文_第1页
基于fpga的spwm论文_第2页
基于fpga的spwm论文_第3页
基于fpga的spwm论文_第4页
基于fpga的spwm论文_第5页
资源描述:

《基于fpga的spwm论文》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、宜宾学院YIBINUNIVERSITY本科课题论文(设计)题目:基于FPGA的SPWM设计专业:电子信息工程学生姓名:侯阳阳学生学号:120304024院系:物理与电子工程学院年级、班:12级4班指导教师:文良华年月日25摘要SPWM(SinusoidalPWM),即正弦脉宽调制法是一种比较成熟的,目前使用较广泛的PWM法。本文是基于FPGA的来产生频率,相位可调的SPWM发生器。首先简述SPWM的产生原理,并对现今产生SPWM几种方法进行论证分析,说明FPGA实现的优势。然后在原理的基础上用VHDL编写实现的各功能模块,最后整合成一个频率,相位可调

2、的SPWM发生器。在实现过程中对各个模块用ModelSim进行RTL仿真。来验证设计的正确性。最后,对整体进行最后确认。通过ModelSim仿真观察可得,基于FPGA的SPWM发生器可以正确的产生指定频率和相位的SPWM波。关键词正弦脉宽调制;FPGA;频率可调;相位可调;ModelSim25AbstractSPWM(SinusoidalPWM),namelythesinusoidalpulsewidthmodulationisarelativelymature,theuseofPWMmethodwidely.Thisdesignisabasedon

3、FPGA,frequencyandphaseadjustableSPWMgenerator.First,givetheprincipleoftheSPWM,andnowproducesseveralmethodsofSPWMandanalyzestheadvantageofFPGAimplementation.ThenusetheVHDLcompileeachfunctionmoduleintheprinciplefoundation,finallyintegratedintoafrequencyandphaseadjustableSPWMgener

4、ator.IntheprocessofimplementationofeachmodulewithModelSimRTLsimulation.Toverifythecorrectnessofthedesign.Finally,thefinalconfirmationoftheoverall.ThroughthesimulationofModelSimobservation,SPWMgeneratorbasedonFPGAcangenerateSPWMwavespecifiedfrequencyandphasecorrect.KeywordsSPWMF

5、PGAfrequencyandphaseadjustableModelSim25目录摘要ⅠAbstractⅡ第1章绪论11.1SPWM介绍11.2SPWM原理实现方案11.2.1等面积法11.2.2硬件调制法21.2.3软件生成法21.2.3.1自然采样法21.2.3.2规则采样法21.2.4低次谐波消去法31.2.5梯形波与三角波比较法31.2.6.1单极性法31.2.6.2双极性法41.3SPWM硬件实现方案51.4本设计方案选择51.5本章小结5第2章VHDL设计62.1总体框图62.2模块设计62.2.1可调分频器62.2.2时钟发生器72.

6、2.3地址发生器82.2.4相位调节器器92.2.5LUT92.2.6比较器102.3顶层设计112.4本章小结12第3章FPGA硬件调试143.1硬件搭建143.2波形调试14第4章总结16参考文献16致谢1625附录19可调分频器VHDL代码19时钟发生器VHDL代码20地址发生器VHDL代码20相位累加器VHDL代码25比较器VHDL代码26mif文件生成c代码……………………………………………………………….2625第1章 绪论1.1SPWM介绍PWM的全称是PulseWidthModulation(脉冲宽度调制)。,它是通过改变输出方波的占

7、空比来改变等效的输出电压。广泛地用于电动机调速和阀门控制,比如电动车电机调速就是使用这种方式SPWM,即正弦脉冲宽度调制(SinusoidalPulseWidthModulation),就是在PWM的基础上改变了调制脉冲方式,脉冲宽度时间占空比按正弦规律排列,用SPWM波形控制逆变电路中开关器件的通断,使其输出的脉冲电压的面积与所希望输出的正弦波在相应区间内的面积相等,通过改变调制波的频率和幅值则可调节逆变电路输出电压的频率和幅值,这样输出波形经过适当的滤波可以做到正弦波输出。它广泛地用于直流交流逆变器等.1.2SPWM原理实现方案1.2.1等面积法

8、该方案实际上就是SPWM法原理的直接阐释,用同样数量的等幅而不等宽的矩形脉冲序列代替正弦波,然后计算各脉冲的

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。