12版eda设计性实验项目及要求

12版eda设计性实验项目及要求

ID:11647629

大小:130.00 KB

页数:13页

时间:2018-07-13

12版eda设计性实验项目及要求_第1页
12版eda设计性实验项目及要求_第2页
12版eda设计性实验项目及要求_第3页
12版eda设计性实验项目及要求_第4页
12版eda设计性实验项目及要求_第5页
资源描述:

《12版eda设计性实验项目及要求》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、现代电子系统设计课程编码:Z6102X012实验指导书:EDA实验讲义(2009版,自编)面向专业:电子信息工程、通信工程、生物医学工程设计性实验项目名称模可变计数器设计实验项目学时:2学时实验要求:■必修□选修(一)实验目的1、进一步熟悉实验装置和QuartusⅡ软件的使用;2、进一步熟悉和掌握EDA设计流程;3、学习简单组合、时序电路的EDA设计;4、学习计数器中二进制码到BCD码的转换技巧;5、学习实验装置上数码管的输出方法。(二)设计要求完成设计、仿真、调试、下载、硬件测试等环节,在EDA实验装置上实现模可变计数器功能,具体要求如下:1、设置一个按键控制改变模值,按键按下时

2、模为10-99之间(具体数值临时确定)的数,没按下时模为100-199之间(具体数值临时确定)的数;2、计数结果用三位数码管十进制显示。(三)主要仪器设备1、微机1台2、QuartusII集成开发软件1套3、EDA实验装置1套设计性实验项目名称序列信号发生和检测器设计实验项目学时:2学时实验要求:■必修□选修(一)实验目的1、进一步熟悉EDA实验装置和QuartusⅡ软件的使用方法;2、学习有限状态机法进行数字系统设计;3、学习使用原理图输入法进行设计。(二)设计要求完成设计、仿真、调试、下载、硬件测试等环节,在EDA实验装置上实现一个串行序列信号发生器和一个序列信号检测器的功能,

3、具体要求如下:1、先用原理图输入法设计0111010011011010序列信号发生器,其最后8BIT数据用LED显示出来;2、再设计一个序列信号检测器,检测上述序列信号,若检测到串行序列“11010”则输出为“1”,否则输出为“0”;(三)主要仪器设备1、微机1台2、QuartusII集成开发软件1套3、EDA实验装置1套设计性实验项目名称交通灯控制器设计实验项目学时:3学时实验要求:■必修□选修(一)实验目的1、学习与日常生活相关且较复杂数字系统设计;2、进一步熟悉EDA实验装置和QuartusⅡ软件的使用方法;3、学习二进制码到BCD码的转换;4、学习有限状态机的设计应用。(二

4、)设计要求完成设计、仿真、调试、下载、硬件测试等环节,在型EDA实验装置上实现一个由一条主干道和一条乡间公路的汇合点形成的十字交叉路口的交通灯控制器功能,具体要求如下:1、有MR(主红)、MY(主黄)、MG(主绿)、CR(乡红)、CY(乡黄)、CG(乡绿)六盏交通灯需要控制;2、交通灯由绿转红前有4秒亮黄灯的间隔时间,由红转绿没有间隔时间;3、乡间公路右侧各埋有一个串连传感器,当有车辆准备通过乡间公路时,发出请求信号S=1,其余时间S=0;4、平时系统停留在主干道通行(MGCR)状态,一旦S信号有效,经主道黄灯4秒(MYCR)状态后转入乡间公路通行(MRCG)状态,但要保证主干道通

5、行大于一分钟后才能转换;5、一旦S信号消失,系统脱离MRCG状态,即经乡道黄灯4秒(MRCY)状态进入MGCR状态,即使S信号一直有效,MRCG状态也不得长于20秒钟;6、控制对象除红绿灯之外,还包括分别在主干道和乡间公路各有一个两位十进制倒计时数码管显示。(三)主要仪器设备1、微机1台2、QuartusII集成开发软件1套3、EDA实验装置1套设计性实验项目名称多功能数字钟设计实验项目学时:6学时实验要求:■必修□选修(一)实验目的1、学习综合且较复杂数字系统设计;2、学习多层次、多模块数字系统设计;3、学习数码管扫描显示电路设计;(二)设计要求完成设计、仿真、调试、下载、硬件测

6、试等环节,在型EDA实验装置上由简单到复杂实现多功能数字钟功能,具体要求如下:1、数码管扫描显示时、分、秒;2、具有正常计时和调时、调分、调秒等校时功能;3、经设置应具有整点报时功能;4、经设置应具有跑表功能;5、经设置应具有闹钟功能;以下部分为扩展要求:6、音乐闹钟功能;7、液晶显示:年、月、日、时、分、秒、星期;8、自动闰年、闰月的万年历功能;(三)主要仪器设备1、微机1台2、QuartusII集成开发软件1套3、EDA实验装置1套设计性实验项目名称高速数字相关器设计与时序分析实验项目学时:6学时实验要求:■必修□选修(一)实验目的1、学习EDA技术中的资源优化、速度优化及其时

7、序分析;2、学习采用流水线技术以提高硬件运行速度;(二)设计要求数字相关器用于检测等长度的两个数字序列间相等的位数,实现序列间的相关运算,一位相关器就是异或门。在熟悉QuartusⅡ软件对资源优化、速度优化设置和时序分析方法的基础上,采用流水线技术完成高速数字相关器设计。具体要求如下:1、输入为两路16位串行序列;2、先设计并行16位数字相关器,估计最大延时,并计算可能运行的最高频率;3、使用流水线技术在1位数字相关器的输入、输出及每一级组合逻辑的结果处加入流水线寄存

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。