基于vhdl语言的汉明码编译码的设计

基于vhdl语言的汉明码编译码的设计

ID:11488175

大小:433.16 KB

页数:30页

时间:2018-07-12

基于vhdl语言的汉明码编译码的设计_第1页
基于vhdl语言的汉明码编译码的设计_第2页
基于vhdl语言的汉明码编译码的设计_第3页
基于vhdl语言的汉明码编译码的设计_第4页
基于vhdl语言的汉明码编译码的设计_第5页
资源描述:

《基于vhdl语言的汉明码编译码的设计》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、通信原理课程设计基于VHDL语言的(7,4)汉明码编译码的设计【摘要】本文主要介绍利用ALTERA公司的QuartusII软件实现(7,4)汉明码的编码和译码的设计,设计共分为三个模块:m序列产生与分组模块、编码模块、译码模块,实现m序列的分组输出。在QuartusII编辑环境下用VHDL文本输入的输入方法编制程序,经编译正确后进行波形仿真,调试,从而验证设计的正确性。关键字:汉明码,编码,译码,VHDL【Abstract】ThisarticleproposeamethodforHammingencoderandHammingdecode

2、rwhichisbasedontheVHDLlanguage.ItintroducesthetheoryofHammingencoderandHammingdecoderandthesourceprogrambasedonVHDL,andthewaysimulatedandcheckedthroughQuartusII.Throughoutthewholedesignistotallydividedintothreemodules:themsequencegeneratedandthePacketModule,theencodingmod

3、ule,thedecodingModule.Keywords:Hamming,coder,encoder,decoder,VHDL30第页共30页通信原理课程设计基于VHDL语言的(7,4)汉明码编译码的设计目录第1章问题的提出及方案论证31.1问题的提出31.2方案论证31.2.1QuartusII和VHDL简介31.2.2软件设计方案介绍6第2章设计方案的实施82.1m序列模块82.1.1m序列简介82.1.2m序列模块设计流程92.2(7,4)汉明码知识介绍102.2.1基本概念102.2.2监督矩阵112.2.3生成矩阵122.2

4、.4伴随式(校正子)S122.3汉明码编码模块132.3.1汉明码编码原理132.3.2汉明码编码程序设计流程142.4汉明码译码模块152.4.1汉明码译码原理152.4.2汉明码译码程序设计流程16第3章软件调试及遇到的问题193.1软件调试仿真波形图193.2软件调试过程中遇到的问题20第4章总结体会22附录:23参考文献3030第页共30页通信原理课程设计基于VHDL语言的(7,4)汉明码编译码的设计第1章问题的提出及方案论证1.1问题的提出在上学期的通信原理课程中,我们学习了线性码的基本理论知识。通过学习,我们知道线性码是按照一

5、组线性方程构成的。汉明(Hamming)码又是一种能够纠正一位错码效率较高的线性分组码。本次课程设计的任务就是利用EDA技术在QuartusII软件下用VHDL语言实现(7,4)汉明码的编译码设计和仿真。从而在实践过程中,加深对汉明码编译码原理的理解。1.2方案论证1.2.1QuartusII和VHDL简介1.QuartusII软件简介QuartusⅡ是Altera公司推出的CPLD/FPGA的开发工具,QuartusⅡ提供了完全集成且与电路结构无关的开发环境,具有数字逻辑设计的全部特性。Altera的QuartusII可编程逻辑软件属于

6、第四代PLD开发平台。该平台支持一个工作组环境下的设计要求,其中包括支持基于Internet的协作设计。Quartus平台与Cadence、ExemplarLogic、30第页共30页通信原理课程设计基于VHDL语言的(7,4)汉明码编译码的设计MentorGraphics、Synopsys和Synplicity等EDA供应商的开发工具相兼容。改进了软件的LogicLock模块设计功能,增添了FastFit编译选项,推进了网络编辑性能,而且提升了调试能力。支持MAX7000/MAX3000等乘积项器件其中,QuartusII软件5.1支持

7、可编程逻辑和结构化ASIC设计达到最佳效能的新特性包括:lStratixIIGX器件支持:设计人员采用QuartusII软件5.1, 现在可以开始进行StratixIIGX全系列产品设计。lPowerPlay技术包:随着PowerPlay技术包的全面推出,QuartusII软件用户现在具备了业界可编程逻辑功耗分析和优化的最高级工具。与QuartusII软件5.0相比,5.1版的功耗优化特性平均降低了20%的动态功耗,而AlteraStratixII器件则达到了60%。此外,5.1版包括了新的功耗优化向导,完善了QuartusII软件4.1

8、引入的面积和性能优化向导,在优化QuartusII软件设计应用方面,为用户提供实时、循序渐进的帮助。l渐进式设计流程:渐进式编译使设计人员能够将设计分为物理和逻辑分区,然后进行综合和适配。5.

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。