数字电路课程设计

数字电路课程设计

ID:11103326

大小:139.00 KB

页数:8页

时间:2018-07-10

数字电路课程设计_第1页
数字电路课程设计_第2页
数字电路课程设计_第3页
数字电路课程设计_第4页
数字电路课程设计_第5页
资源描述:

《数字电路课程设计》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、大连理工大学本科实验报告题目:数字钟设计课程名称:数字电路课程设计学院(系):控制科学与工程学院专业:自动化班级:自0801一、系统功能概述:1、进行一个具有‘时’、‘分’、‘秒’的十进制数计时功能,‘时’、‘分’、‘秒’各用两个数码管分别显示(小时从00~23,分、秒从00~59);2、可以进行手动校时,校分,校时完毕之后从校正点开始从新计时;3、具有定时功能,可以预设闹钟,并在预定的时间发出闹铃声;4、具有整点报时功能,即从59分50秒起,每隔2秒钟发出一次低音“嘟”的信号,连续5次,最后一次发出高音

2、“嘀”的信号,此信号结束即达到整点。二、系统组成以及系统各部分的设计:1、系统结构描述:(1)源代码及各信号、各变量、部分语句注释:libraryieee;useieee.std_logic_1164.all;useieee.std_logic_arith.all;useieee.std_logic_unsigned.all;entityclockisport(reset:instd_logic;——上升沿有效,用于改变工作状态(校时,定时,正常计时);sign:instd_logic;——高电平有效,用

3、来控制校时,定时功能;clk:instd_logic;——计时脉冲;clk2:instd_logic;——闹铃脉冲;hourmusic1:outstd_logic;——整点报时发出“嘟”声音出口;hourmusic2:outstd_logic;——整点报时发出“嘀”声音出口;music:outstd_logic;——闹铃端口;q5:outstd_logic_vector(3downto0);——小时高位出口;q4:outstd_logic_vector(3downto0);——小时低位出口;q3:outs

4、td_logic_vector(3downto0);——分钟高位出口;q2:outstd_logic_vector(3downto0);——分钟低位出口;q1:outstd_logic_vector(3downto0);——秒钟高位出口;q0:outstd_logic_vector(3downto0));——秒钟低位出口;endclock;architectureaofclockissignalqq5,qq4,qq3,qq2,qq1,qq0:std_logic_vector(3downto0);——用于计

5、时,校时时‘时’、‘分’、‘秒’的对应中间变量;signalqqhh,qqhl,qqmh,qqml:std_logic_vector(3downto0);——用于定时时‘时’、‘分’、‘秒’的对应中间变量;signalqqq5,qqq4,qqq3,qqq2,qqq1,qqq0:std_logic_vector(3downto0);——用于显示时‘时’、‘分’、‘秒’的对应中间变量;signalflag:std_logic_vector(2downto0);——用来标记计时,定时,校时的状态;signalm

6、usica:std_logic;——闹铃的中间变量;signalhourmusic11,hourmusic22:std_logic;——整点报时的中间变量;signalk:std_logic;——是clk二分频,用来控制整点报时;beginprocess(reset)——通过reset上升沿触发调整工作状态;beginif(reset'eventandreset='1')thenifflag="100"then——若flag等于4,则清零,否则加1;flag<="000";elseflag<=flag+1

7、;endif;endif;endprocess;process(clk,flag,sign)——用于计时,校时,定时;beginif(clk'eventandclk='1')thenk<=notk;产生二分频,用来控制后面整点报时;ifflag="000"then——计时环节;ifqq0="1001"thenqq0<="0000";ifqq1="0101"thenqq1<="0000";ifqq2="1001"thenqq2<="0000";ifqq3="0101"thenqq3<="0000";ifqq

8、4="1001"thenqq4<="0000";qq5<=qq5+1;elsifqq5="0010"andqq4="0011"thenqq4<="0000";qq5<="0000";elseqq4<=qq4+1;endif;elseqq3<=qq3+1;endif;elseqq2<=qq2+1;endif;elseqq1<=qq1+1;endif;elseqq0<=qq0+1;endif;elsif(flag="001"and

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。