基于fpga的多路温度采集与处理系统的设计本科毕业设计

基于fpga的多路温度采集与处理系统的设计本科毕业设计

ID:843899

大小:1.77 MB

页数:31页

时间:2017-09-19

上传者:^_^
基于fpga的多路温度采集与处理系统的设计本科毕业设计_第1页
基于fpga的多路温度采集与处理系统的设计本科毕业设计_第2页
基于fpga的多路温度采集与处理系统的设计本科毕业设计_第3页
基于fpga的多路温度采集与处理系统的设计本科毕业设计_第4页
基于fpga的多路温度采集与处理系统的设计本科毕业设计_第5页
资源描述:

《基于fpga的多路温度采集与处理系统的设计本科毕业设计》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

编号本科生毕业设计基于FPGA的多路温度采集与处理系统的设计FPGA-basedMulti-channelTemperatureAcquisitionandProcessingSystem2011年06月27 摘要目前温度测量主要通过单片机来实现控制,但单片机是基于顺序语言的,其描述过程繁琐,信号采集频率受单片机始终频率的限制,难于实现高速的温度测量且不易在线修改,由于传感器多数采用的分立元件,如热敏电阻,热电偶等,精度很低,远远不能满足实际使用所需要的高精度测温度要求,笔者采用集成温度传感器,是传统传感器和集成电路融为一体,极大地提高了传感器的性能,具有测温精度高,复现性好、线性优良、体积小、热容量小、稳定性好、输出电信号大等优点。更重要的是采用现场可编程门阵列(FPGA)实现温度测量比采用单片机大大改善设计效果,可实现高速的温度测量。文中阐述了FPGA的实现方法及如何利用FPGA器件实现多路温度测量。由于FPGA具有集成度高,高速、高效率,内部有嵌入式阵列块等特点,易于实现FIFO和ROM,可使整个温度测量系统主要由硬件实现。关键词:fpga,18b20,12864液晶27 Abstract(居中)TemperaturemeasurementiscurrentlyachievedmainlythroughtheMCUcontrol,SCMisbasedontheorderoflanguage,anditsdescriptionoftheprocesscumbersome,dataacquisitionfrequencyoftherestrictionsbytheMCUclockfrequency,high-speedtemperaturemeasurementisdifficultandnoteasilymodifiedonline,themajorityofsensorsusedindiscretecomponents,suchasthermistors,thermocouples,etc.,theaccuracyisverylow,farfrommeetingtheneedsoftheactualuseofprecisionmeasuringtemperaturerequirements,theauthoradoptsanintegratedtemperaturesensor,ablendoftraditionalsensorsandintegratedcircuits,whichgreatlyimprovedtheperformanceofthesensor,withtemperaturemeasurement,highprecision,goodreproducibility,goodlinearity,smallsize,smallheatcapacity,goodstabilityandtheadvantagesoflargeoutputsignals.Moreimportantistheuseoffieldprogrammablegatearray(FPGA)toachievetemperaturemeasurementssignificantlyimprovethedesignthantheeffectofusingsinglechip,enablinghigh-speedtemperaturemeasurement.PaperdescribestheFPGAimplementationofmethodsandhowtouseFPGAdevicestoachievemulti-channeltemperaturemeasurement.TheFPGAwithhighintegration,high-speed,highefficiency,theinternalcharacteristicsofembeddedarrayblock,easytoimplementFIFOandROM,makethewholetemperaturemeasurementsystemconsistsofhardware.Keywords:FPGADS18B20JM1286427 目录摘要IAbstractII第一章绪论11.1引言11.2研究目的与意义11.3国内外研究现状综述1第二章方案论证32.1传感器的选择32.2显示电路的选择32.3主控制部分的选择42.4系统方案4第三章基于FPGA的多路温度采集与处理系统的硬件设计53.1硬件总体设计框图53.2EP2C5T144C芯片的介绍53.2.1Cyclone系列FPGA的特点53.2.2SDRAM控制器83.2.3配置方式及其特点、用途83.3光耦的介绍93.3.1光耦的简介93.3.2本设计中使用的光耦的介绍103.4温度传感器18b20的介绍113.5液晶12864介绍123.5.112864的特性123.5.2管脚定义133.5.3电路设计14第四章基于FPGA的多路温度采集与处理系统的软件设计154.1FPGA内部资源分配154.2温度传感器18b20的读写时序164.2.118b20读写时序的手册介绍164.2.218b20读写仿真时序的结果184.3液晶12864的读写时序184.3.1手册要求的12864的读写时序介绍184.3.2初始化流程图224.3.3仿真结果图24第五章结论25参考文献26致谢2727 第一章绪论1.1引言温度是现代生活及生产中常见的参数,在工业生产、科研及医疗等需要严格进行温度控制的行业中,需要对温度进行实时监控和处理,就需要精度和自动化水平高、应用范围广的温度采集系统。FPGA器件高速度、高集成、低功耗、体积小,FPGA器件的出现使得数字电路的设计周期和难度都大大减小,在数字电路的设计中得到广泛的应用。本设计采用能适应恶劣环境的数字化温度传感器DS18B20,采用FPGA芯片作数据处理,实现环境温度实时采集及显示。1.2研究目的与意义(缩进,正文每段开始的缩进好像都有点问题,仔细修改一下)温度作为一个重要的物理量,是工业生产过程中最普遍、最重要的工艺参数之一。随着时代的进步、社会的发展、科学技术的不断更新,温度的采集范围要求不断扩大,同时温度的采集准确性要求不断提高。对温度采集的要求也越来越高,因此,温度采集和处理技术的研究也是一个重要的研究课题本设计采用传感器技术、FPGA技术、接口技术完成的是基于FPGA的多路温度采集与处理系统,本设计结果实现16路温度信号的采集电路并实现温度数据的现实,具有测温精度高、复现性好、线性优良、体积小、热容量小、稳定性好、输出电信号大等优点。更重要的是采用现场可编程门阵列(FPGA)实现温度测量比采用单片机大大改善了设计效果,可实现多路的温度测量。然后用12864液晶将温度值显示出来。1.3国内外研究现状综述近几年,随着需求量的不断增加,FPGA的技术得到了迅速发展。从器件的速度来看,已制成了80MHz时钟频率的高速器件,FPGA的速度已不再成为器件选择的障碍。从集成度来看,实际使用器件已达13000门,可满足ASIC设计需求的75。美国AT&T在1994年第1季度,推出了门数高达12000~22000的FPGA器件(产品)。从工艺上来看,目前正处于从0.8/Lm向0.7m过渡时期,最近已制成了0.6btm的器件。从总的来看,现在的FPGA的性能相当于2.5/Lm时代的门阵列(1985年的水平),还没有达到固定标准结构程序阶段,也可以说正处于从单一型供货向多种供货的转折期。今后根据用户的需要将不断开发出速度更高,能满足用户价格条件及能成倍增加I/O27 引脚数的新型器件,以扩大用户的选择范围。温度属于模拟量的采集,也就是数据采集,国内外数据采集领域正发生着重要的变化。首先,分布式控制应用场合中的智能数据采集系统正在发展。其次,总线兼容型数据采集插件的数量正在增大,与个人计算机兼容的数据采集系统的数量也在增加。数据采集已长时间地被认为玉数据馈送及其他数据采集系统相等同。在工作时,一些要打印出拷贝,而另一些则把采集的数据馈送给主计算机处理。但是,随着分布式控制在各个领域里越来越普遍的应用,数据采集设备开始同控制设备相结合。27 第二章方案论证温度检测系统有共同的特点:测量点多、环境复杂、布线分散、现场离监控室远等。若采用一般温度传感器采集温度信号,则需要设计信号调理电路、A/D转换及相应的接口电路,才能把传感器输出的模拟信号转换成数字信号送到计算机去处理。这样,由于各种因素会造成检测系统较大的偏差;又因为检测环境复杂、测量点多、信号传输距离远及各种干扰的影响,会使检测系统的稳定性和可靠性下降。所以多点温度检测系统的设计的关键在于两部分:温度传感器的选择和主控单元的设计。温度传感器应用范围广泛、使用数量庞大,也高居各类传感器之首。2.1传感器的选择方案一:采用热敏电阻,可满足40℃~90℃测量范围,但热敏电阻精度、重复性、可靠性较差,对于检测小于1℃的信号是不适用的。方案二:采用DS18B20温度传感器,测温范围为-55℃~+125℃,固有测温分辨率0.5℃。并且线性好、精度适中、灵敏度高、体积小、使用方便[1]。综上所述,本设计中温度传感器部分采用方案二进行设计。2.2显示电路的选择方案一:N位的LED显示器是由N个LED显示块拼接的。N个LED显示块有N位位线和8×N根段数码线。使用LED数码管显示,该方案控制最简单,但是只能显示非常有限的符号和数字,对于设计中显示功能比较复杂再加上数码管的耗电量比较大。显然不是我们的首要之选。方案二:液晶显示器(LCD)具有工作电压低、微功耗、显示信息量大、接口方便等优点,现在已被广泛应用于计算机、数字式仪表等场合,成为测量结果显示和人机对话的重要工具。液晶显示器按其功能分为三类:笔段式液晶显示器、字符点阵式液晶显示器和图形点阵式液晶显示器。前两种可显示数字、字符和符号等,而图形点阵式液晶显示器还可以显示汉字和任意图形,达到图文并茂的效果,其应用越来越广泛。综上所述,本设计中显示部分采用方案二进行设计。27 2.3主控制部分的选择方案一:此方案采用PC机实现。它可在线编程,可在线仿真的功能,这让调试变得方便。且人机交互友好。但是在一些环境比较恶劣的场合,由于PC机的体积大,携带安装不方便,性能不稳定,给工程带来很多麻烦!方案二:此方案采用FPGA实现,FPGA的高速度、高集成、低功耗、体积小使得数字电路的设计周期和难度都大大减小,在数字电路的设计中得到广泛的应用。FPGA将在60个计数周期内将控制信号传送至温度传感器中,使温度传感器将温度信号送出。通过FPGA编程实现实时采集温度传感器的温度数据,并实时处理采集到的数据,并用液晶12864显示出当前的温度。2.4系统方案系统采用针对传统温度测量系统,测温点少,系统兼容性及扩展性较差的特点,运用分布式通讯的思想。设计一种可以用于大规模多点温度测量的巡回检测系统。该系统通过FPGA进行现场的温度采集,把采集到的温度数据通过液晶模块进行显示,具有巡检速度快,扩展性好,成本低的特点。27 第三章基于FPGA的多路温度采集与处理系统的硬件设计3.1硬件总体设计框图系统工作原理框图如下图所示,主要由温度采集电路、温度数据接收模块、温度数据处理模块、温度显示模块、LED电路组成。温度采集电路中温度传感器为数字温度传感器DS18B20,通过传感器实现对外界环境温度的采集,FPGA将在60个计数周期内将控制信号传送至温度传感器中,使温度传感器将温度信号送出。通过FPGA编程实现实时采集温度传感器的温度数据,并实时处理采集到的数据,并将其转换为BCD码通过数码管(液晶)显示出当前的温度。图3-1硬件总体框图3.2EP2C5T144C芯片的介绍3.2.1Cyclone系列FPGA的特点本设计选用了CycloneⅡ的EP2C5T144C8,具有4608个逻辑单元,119808bit的嵌入RAM,两个锁相环(PLL),89个用户I/O引脚,可快速实现完整的可编程单芯片系统。它具有生成时钟的锁相环以及DDRSdram和快速RAM存储器所需的专用双数据率(DDR)接口等。另外还支持多种I/O标准,包括640Mbps的LVDS以及频率为33MhZ和66MHZ、数据宽度为32位和64位的PCI。下面具体介绍其的特点。27 A、新型可编程构架CycloneⅡ系列器件基于一种全新的低成本构架,从设计之初就充分考虑了成本的节省,因此可以为价格敏感的应用提供全新的可编程的解决方案。CycloneⅡ构架采用垂直结构的逻辑单元(LE)、嵌入式存储块和锁相环(PLL)、周围环绕I/O单元,高效的内部连线和低延时时钟网络保证了每个结构单元之间时钟和数据信号的连通性。I/O单元分为不同的形式支持不同的接口如SSTL-2、SSTL-3以及311Mbps的LVDS等,另外I/O块配备了专门的外部存储器接口电路可大大简化与外部存储器的数据交换,可以达到266Mbps的最大数据交换速率。支持32B/66MHzPCI接口,每个I/O单元提供从管脚到FPGA内核的多条路径,以便器件满足相关的建立和保持时间。CycloneⅡ器件为在FPGA上实现低成本的数字信号处理(DSP)系统提供一个理想的平台,采用了灵活的硬件解决方案能够实现设计所需的多个乘法器,其中的一些模块可用来实现软乘法器以满足图像处理、音频处理和消费类电子系统的设计所需。软乘法器可以根据数据位宽、系数位宽进行定制并根据要求选择精度。B、专用外部存储接口电路CycloneⅡ器件通过片内内嵌的专用接口电路实现与双数据速率(DDR)Sdram和FCram以及单数据速率(SDR)Sdram进行快速可靠的数据交换,结合即取即用的IP控制器核可在几分钟之内将一个Sdram核FCram的功能合并到一个系统中。图3.1显示了从外部存储器读取一个单一比特数据的读操作,DQS信号位于输入的DQ信号中央,用来驱动全局时钟网络,DQ信号在时钟的上、下沿被FPGA的寄存器采样并使用第二组上升沿采样的内部寄存器使之与系统时钟同步。图3-2外部存储器读写操作27 C、支持的接口及协议1.PCI2.SDRAM及FCRAM3.10/l00/1000兆以太网4.串行总线接口,Cyclone器件支持一系列的串行总线接口,如串行外设接口(SPI)、I2C、IEEE1394和通用串行总线(USB)等,通信协议:E3、T3和SONET/SDH等。D、锁相环的实现基本工作原理压控振荡器给出一个信号,一部分作为输出,另一部分通过分频与PLLIC所产生的本振信号作相位比较,为了保持频率不变,就要求相位差不发生改变,如果有相位差的变化,则PLLIC的电压输出端的电压发生变化,去控制VCO,直到相位差恢复,达到锁频的目的。能使受控振荡器的频率和相位均与输入信号保持确定关系的闭环电子电路。数字锁相环主要由相位参考提取电路、晶体振荡器、分频器、相位比较器、脉冲补抹门等组成。分频器输出的信号频率与所需频率十分接近,把它和从信号中提取的相位参考信号同时送入相位比较器,比较结果示出本地频率高了时就通过补抹门抹掉一个输入分频器的脉冲,相当于本地振荡频率降低;相反,若示出本地频率低了时就在分频器输入端的两个输入脉冲间插入一个脉冲,相当于本地振荡频率上升,从而达到同步。图3-3Cyclone器件锁相环原理图图3-4CyclonePLL主要部分的框架图27 3.2.2SDRAM控制器在高速实时或者非实时信号处理系统当中,使用大容量存储器实现数据缓存是一个必不可少的环节,也是系统实现中的重点和难点之一。SDRAM(同步动态随机访问存储器)具有价格低廉、密度高、数据读写速度快的优点,从而成为数据缓存的首选存储介质。但是SDRAM存储体结构与RAM有较大差异,其控制时序和机制也较复杂,限制了SDRAM的使用。目前,虽然一些厂商为微处理器提供了和SDRAM的透明接口,但其可扩展性和灵活性不够,难以满足现实系统的要求,限制了SDRAM的使用。利用FPGA设计了一种通用的SDRAM控制器是一种为系统添加外设内存的很好的选择。在以SDRAM作为缓存的系统中,使用可编程器件对其进行控制具有很强的灵活性。为了使设计具有模块化和可重复使用的优点,设计了一个简化的SDRAM接口电路。这样就屏蔽掉了SDRAM操作的复杂性,而其它逻辑模块可通过接口电路对SDRAM进行访问。此外,由于整个SDRAM控制器用VHDL语言编写,只要对其进行简单的修改就可以满足不同的需求,具有很强的灵活性。3.2.3配置方式及其特点、用途现场可编程门阵列FPGA是一种高密度可编程逻辑器件,其逻辑功能是通过把设计生成的数据文件配置进芯片内部的静态配置数据存储器(SRAM)来实现的,具有可重复编程性,可以灵活实现各种逻辑功能。由于SRAM的易失性,每次系统上电时必须重新配置数据,即ICR(In-CircuitReconfigurability)。只有在数据配置正确的情况下,系统才能正常工作。因此需要外接ROM保存其配置数据。FPGA的配置是有时序要求的,如果FPGA本身不能控制配置时序,就需要外部配置器件来进行时序控制。以Altera公司的FPGA为例,因其本身不能控制时序,就有专用的EPC系列配置器件供其使用。在嵌入式系统中,因为含有微处理器,可以使用微处理器产生配置时序,将保存在系统ROM中的配置数据存储到FPGA中。所以没有必要使用专用的EPC系列配置器件来配置FPGA。这样不仅节约了成本,还有效地缩小了系统体积。Altera公司生产的具有ICR功能的FPGA器件有FLEX6000、FLEX10K、APEX和ACEX等系列,它们可以用以下方式进行配置:lØ使用专用EPC配置器件,如EPC16、EPC8、EPC2、EPC1;lØ被动串行方式(PS),使用微处理器的串行接口;过加强型配置器件(EPC16,EPC8,EPC4)等配置器件来完成;lØ被动并行同步方式(PPS),使用微处理器的并行同步接口;27 lØ被动并行异步方式(PPA),使用微处理器的并行异步接口;lØ边界扫描方式(JTAG),使用JTAG下载电缆。使用IEEEStd1149.1联合边界扫描接口引脚,支持JAMSTAPL标准,可以使用Altera下载电缆或主控器来完成;lØ6AS主动串行配置方式,目前只支持Cyclone系列。使用Altera串行配置器件来完成。Cyclone期间处于主动地位,配置期间处于从属地位。配置数据通过DATA0引脚送入FPGA。配置数据被同步在DCLK输入上,1个时钟周期传送1位数据。3.3光耦的介绍3.3.1光耦的简介光耦合器(opticalcoupler,英文缩写为OC)亦称光电隔离器或光电耦合器,简称光耦。它是以光为媒介来传输电信号的器件,通常把发光器(红外线发光二极管LED)与受光器(光敏半导体管)封装在同一管壳内。当输入端加电信号时发光器发出光线,受光器接受光线之后就产生光电流,从输出端流出,从而实现了“电—光—电”转换。以光为媒介把输入端信号耦合到输出端的光电耦合器,由于它具有体积小、寿命长、无触点,抗干扰能力强,输出和输入之间绝缘,单向传输信号等优点,在数字电路上获得广泛的应用。耦合器以光为媒介传输电信号。它对输入、输出电信号有良好的隔离作用,所以,它在各种电路中得到广泛的应用。目前它已成为种类最多、用途最广的光电器件之一。光耦合器一般由三部分组成:光的发射、光的接收及信号放大。输入的电信号驱动发光二极管(LED),使之发出一定波长的光,被光探测器接收而产生光电流,再经过进一步放大后输出。这就完成了电—光—电的转换,从而起到输入、输出、隔离的作用。由于光耦合器输入输出间互相隔离,电信号传输具有单向性等特点,因而具有良好的电绝缘能力和抗干扰能力。又由于光耦合器的输入端属于电流型工作的低阻元件,因而具有很强的共模抑制能力。所以,它在长线传输信息中作为终端隔离元件可以大大提高信噪比。在计算机数字通信及实时控制中作为信号隔离的接口器件,可以大大增加计算机工作的可靠性。光耦合器的主要优点是:信号单向传输,输入端与输出端完全实现了电气隔离,输出信号对输入端无影响,抗干扰能力强,工作稳定,无触点,使用寿命长,传输效率高。光耦合器是70年代发展起来产新型器件,现已广泛用于电气绝缘、电平转换、级间耦合、驱动电路、开关电路、斩波器、多谐振荡器、信号隔离、级间隔离27 、脉冲放大电路、数字仪表、远距离信号传输、脉冲放大、固态继电路(SSR)、仪器仪表、通信设备及微机接口中。在单片开关电源中,利用线性光耦合器可构成光耦反馈电路,通过调节控制端电流来改变占空比,达到精密稳压目的。光电耦合的主要特点如下:输入和输出端之间绝缘,其绝缘电阻一般都大于10000MΩ,耐压一般可超过1KV,有的甚至可以达到10KV以上。由于光接收器只能接受光源的信息,反之不能,所以信号从光源单向传输到光接收器时不会出现反馈现象,其输出信号也不会影响输入端。.由于发光器件(砷化镓红外二极管)是阻抗电流驱动性器件,而噪音是一种高内阻微电流电压信号。因此光电耦合器件的共模抑制比很大,所以,光电耦合器件可以很好地抑制干扰并消除噪音。.容易和逻辑电路配合。响应速度快。光电耦合器件的时间常数通常在微秒甚至毫微秒极。无触点、寿命长、体积小、耐冲击。3.3.2本设计中使用的光耦的介绍本设计中使用的是光耦是TLP521,TLP521是可控制的光电耦合器件,光电耦合器广泛作用在电脑终端机,可控硅系统设备,测量仪器,影印机,自动售票,家用电器,如风扇,加热器等TLR521-1、-2和-4组成的砷化镓红外发光二极管耦合到光三极管。该TLP521-2提供了两个鼓励的光耦8引脚塑料封装,而TLP521-4提供了4个鼓励的光耦中16引脚塑料DIP封装集电极-发射极电压:55V(最小值)经常转移的比例:50%(最小)隔离电压:2500Vrms(最小)27 图3-5TLP521TLP521-2TLP521-4光耦内部结构图及引脚图3.4温度传感器18b20的介绍DSl820数字温度计提供9位(二进制)温度读数,指示器件的温度。信息经过单线接口送入DSl820或从DSl820送出,因此从主机CPU到DSl820仅需一条线(和地线),DSl820的电源可以由数据线本身提供而不需要外部电源。因为每一个DSl820在出厂时已经给定了唯一的序号,因此任意多个DSl820可以存放在同一条单线总线上。这允许在许多不同的地方放置温度敏感器件。DSl820的测量范围从-55℃到+125℃,增量值为0.5℃,可在ls(典型值)内把温度变换成数字。每一个DSl820包括一个唯一的64位长的序号,该序号值存放在DSl820内部的ROM(只读存贮器)中。开始8位是产品类型编码(DSl820编码均为10H)。接着的48位是每个器件唯一的序号。最后8位是前面56位的CRC(循环冗余校验)码。DSl820中还有用于贮存测得的温度值的两个8位存贮器RAM编号为0号和1号。1号存贮器存放温度值的符号,如果温度为负(℃),则1号存贮器8位全为1否则全为0。0号存贮器用于存放温度值的补码,LSB(最低位)的“1”表示0.5℃。将存贮器中的二进制数求补再转换成十进制数并除以2就得到被测温度值(-550℃--125℃)。DSl820的引脚如下图所示。每只DS18B20都可以设置成两种供电方式,即数据总线供电方式和外部供电方式采取数据总线供电方式。采取数据总线供电方式可以节省一根导线,但完成温度测量的时间较长;采取外部供电方式则多用一根导线,但测量速度较快。图3-6DS18B20的引脚管脚定义:1.GND:地;2.DQ:数字输入/输出;3.VDD:可选的+5V电源温度计算:1、Ds1820用9位存贮温值度,最高位为符号位,下图为18b20的温度存储方式,负温度S=1,正温度S=0。如:00AAH为+85℃,0032H为25℃,FF92H为55℃温度寄存器格式:LS字节:表3-127 262524232221202-1MS字节:表3-2SSSSSSSS2、Ds18b20用12位存贮温值度,最高位为符号位,下图为18b20的温度存储方式负温度S=1,正温度S=0如:0550H为+85℃,0191H为25.0625℃,FC90H为-55℃温度寄存器格式:LS字节:表3-3262524232221202-1MS字节:表3-4SSSSS2625243.5液晶12864介绍3.5.112864的特性FYD12864-0402B是一种具有4位/8位并行、2线或3线串行多种接口方式,内部含有国标一级、二级简体中文字库的点阵图形液晶显示模块;其显示分辨率为128×64,内置8192个16*16点汉字,和128个16*8点ASCII字符集.利用该模块灵活的接口方式和简单、方便的操作指令,可构成全中文人机交互图形界面。可以显示8×4行16×16点阵的汉字.也可完成图形显示.低电压低功耗是其又一显著特点。由该模块构成的液晶显示方案与同类型的图形点阵液晶显示模块相比,不论硬件电路结构或显示程序都要简洁得多,且该模块的价格也略低于相同点阵的图形液晶模块。基本特性:ll        低电源电压(VDD:+3.0--+5.5V)ll        显示分辨率:128×64点ll        内置汉字字库,提供8192个16×16点阵汉字(简繁体可选)ll        内置128个16×8点阵字符ll        2MHZ时钟频率ll        显示方式:STN、半透、正显ll        驱动方式:1/32DUTY,1/5BIASll        视角方向:6点27 ll        背光方式:侧部高亮白色LED,功耗仅为普通LED的1/5—1/10ll        通讯方式:串行、并口可选ll        内置DC-DC转换电路,无需外加负压l无需片选信号,简化软件设计ll        工作温度:0℃-+55℃,存储温度:-20℃-+60℃3.5.2管脚定义表3-5管脚号管脚名称电平管脚功能描述1VSS0V电源地2VCC3.0+5V电源正3V0-对比度(亮度)调整4RS(CS)H/LRS=“H”,表示DB7——DB0为显示数据RS=“L”,表示DB7——DB0为显示指令数据5R/W(SID)H/LR/W=“H”,E=“H”,数据被读到DB7——DB0R/W=“L”,E=“H→L”,DB7——DB0的数据被写到IR或DR6E(SCLK)H/L使能信号7DB0H/L三态数据线8DB1H/L三态数据线9DB2H/L三态数据线10DB3H/L三态数据线11DB4H/L三态数据线12DB5H/L三态数据线13DB6H/L三态数据线14DB7H/L三态数据线15PSBH/LH:8位或4位并口方式,L:串口方式(见注释1)16NC-空脚17/RESETH/L复位端,低电平有效(见注释2)18VOUT-LCD驱动电压输出端19AVDD背光源正端(+5V)(见注释3)20KVSS背光源负端(见注释3)*27 注释1:如在实际应用中仅使用并口通讯模式,可将PSB接固定高电平,也可以将模块上的J8和“VCC”用焊锡短接。*注释2:模块内部接有上电复位电路,因此在不需要经常复位的场合可将该端悬空。*注释3:如背光和模块共用一个电源,可以将模块上的JA、JK用焊锡短接。3.5.3电路设计从12864的使用手册中可以看到,这个模块有三种控制方式,分别是8位并行、4位并行和串行。串行的方式是使用标准的SPI通信方式,使用这种方式能节省大量的IO管脚。因此本设计中使用串行方式对液晶进行控制。如下图所示为液晶显示部分的电路图。图3-7液晶显示部分电路由图中可以看到,把12864中的RS(CS)、R/W(SID)和E(SCLK)分别与FPGA中的IO管脚相连,把/RESET拉高,然后把背光电源接上就可以对模块进行编程驱动。27 第四章基于FPGA的多路温度采集与处理系统的软件设计4.1FPGA内部资源分配图4-1总体流程图(图不行,最起码有个边框表示这些是FPGA内部的,CS、MOSI、SCLK把文字放到线上就行了,不用有框括着)1、FPGA逻辑运算中心FPGA逻辑运算中心负责所有的数据处理以及各部分单元逻辑之间的关联。它就是整个系统的大脑,由它来控制FPGA内部各个单元有序地工作。2、数据采集控制逻辑单元数据采集控制逻辑单元负责采集16路的温度数据,该单元控制数据的采集方式,16路数据怎么采,可以是同时采,可以采某一个温度数据,也可以才指定的几个温度数据等等。采集到的数据在FPGA中开辟一块空间用来缓存这些数据,用以下一步数据处理使用。3、液晶控制逻辑单元液晶控制逻辑单元负责把处理好的数据发给12864进行显示,因此该单元主要是产生12864的读写信号。27 4.2温度传感器18b20的读写时序4.2.118b20读写时序的手册介绍DSl820工作过程中的协议如下:初始化:ROM操作命令存储器操作命令;处理数据。1、初始化单总线上的所有处理均从初始化开始。2、ROM操作品令总线主机检测到DSl820的存在便可以发出ROM操作命令之一这些命令如指令代码ReadROM(读ROM)[33H]MatchROM(匹配ROM)[55H]SkipROM(跳过ROM][CCH]SearchROM(搜索ROM)[F0H]Alarmsearch(告警搜索)[ECH]3、存储器操作命令指令代码WriteScratchpad(写暂存存储器)[4EH]ReadScratchpad(读暂存存储器)[BEH]CopyScratchpad(复制暂存存储器)[48H]ConvertTemperature(温度变换)[44H]RecallEPROM(重新调出)[B8H]ReadPowersupply(读电源)[B4H]4、时序主机使用时间隙(timeslots)来读写DSl820的数据位和写命令字的位(1)初始化时序见下图,主机总线to时刻发送一复位脉冲(最短为480us的低电平信号),接着在tl时刻释放总线并进入接收状态,DSl820在检测到总线的上升沿之后,等待15-60us,接着DS1820在t2时刻发出存在脉冲(低电平持续60-240us)如图中虚线所示。27 图4-2初始化时序(2)写时间隙当主机总线to时刻从高拉至低电平时,就产生写时间隙,见下两图从to时刻开始15us之内应将所需写的位送到总线上,DSl820在t后15-60us间对总线采样.若低电平,写入的位是0,见图4.2;若高电平写入的位是1,见图4.33连续写2位间的间隙应大于1us。图4-3写0时序图4-4写1时序(3)读时间隙见图4.4主机总线to时刻从高拉至低电平时总线只须保持低电平l7ts。之后在t1时刻将总线拉高,产生读时间隙,读时间隙在t1时刻后t2时刻前有效tz距to为15μs,也就是说,tz时刻前主机必须完成读位,并在to后的60μs一120μs内释放总线。读位子程序(读得的位到C中):图4-527 4.2.218b20读写仿真时序的结果图4-6由仿真结果可以看到能很好地根据手册的时序图要求做出相关的读写时序,能很多地完成18b20的读写操作。4.3液晶12864的读写时序4.3.1手册要求的12864的读写时序介绍带中文字库的12864液晶每屏可显示4行8列共32个16×16点阵的汉字,每个显示RAM可显示1个中文字符或2个16×8点阵全高ASCII码字符,即每屏最多可实现32个中文字符或64个ASCII码字符的显示。带中文字库的128X64内部提供128×2字节的字符显示RAM缓冲区(DDRAM)。字符显示是通过将字符显示编码写入该字符显示RAM实现的。根据写入内容的不同,可分别在液晶屏上显示CGROM(中文字库)、HCGROM(ASCII码字库)及CGRAM(自定义字形)的内容。三种不同字符/字型的选择编码范围为:0000~0006H(其代码分别是0000、0002、0004、0006共4个)显示自定义字型,02H~7FH显示半宽ASCII码字符,A1A0H~F7FFH显示8192种GB2312中文字库字形。字符显示RAM在液晶模块中的地址80H~9FH。字符显示RAM在液晶模块中的地址80H~9FH。字符显示的RAM的地址与32个字符显示区域有着一一对应的关系,其对应关系如表所示。表4-180H81H82H83H84H85H86H87H90H91H92H93H94H95H96H97H88H89H8AH8BH8CH8DH8EH8FH98H99H9AH9BH9CH9DH9EH9FH基本指令集:表4-2指                    指令码功能令RSR/WD7D6D5D4D3D2D1D0清除显示0000000001将DDRAM填满"20H",并且设定DDRAM的地址计数器(AC)到"00H"地址归位000000001X设定DDRAM的地址计数器(AC)到"00H",并且将游标移到开头原点位置;这个指令不改变DDRAM的内容27 显示状态开/关0000001DCBD=1:整体显示ONC=1:游标ON    B=1:游标位置反白允许进入点设定00000001I/DS指定在数据的读取与写入时,设定游标的移动方向及指定显示的移位游标或显示移位控制000001S/CR/LXX设定游标的移动与显示的移位控制位;这个指令不改变DDRAM的内容功能设定00001DLXREXXDL=0/1:4/8位数据RE=1:扩充指令操作RE=0:基本指令操作设定CGRAM地址0001AC5AC4AC3AC2AC1AC0设定CGRAM地址设定DDRAM地址0010AC5AC4AC3AC2AC1AC0设定DDRAM地址(显示位址)第一行:80H-87H第二行:90H-97H读取忙标志和地址01BFAC6AC5AC4AC3AC2AC1AC0读取忙标志(BF)可以确认内部动作是否完成,同时可以读出地址计数器(AC)的值写数据到RAM10数据将数据D7—D0写入到内部的RAM(DDRAM/CGRAM/IRAM/GRAM)读出RAM的值11数据从内部RAM读取数据D7——D0(DDRAM/CGRAM/IRAM/GRAM)备注:当ST7920在接受指令前,MCU必须先确认ST7920处于非忙状态。即读取BF=0,才能接受新的指令;如果在送出一条指令前不检查BF状态,则需要延时一段时间,以确保上一条指令执行完毕,具体指令执行时间参照指令表。“RE”是基本指令集与扩充指令集的选择控制位,当变更“RE”的状态后,以后的指令维持在最后的状态。除非再次变更“RE”的状态,否则使用相同的指令集时,不需要重新设置“RE”。本系统液晶采用串行通信方式。在接收到RW(SID)和RS(CS)的信号后,每一八位数据的指令将被分为两部分进行接收,高4位元(DB7-DB4)的指令将被放在第一个位元的LSB部分,而低4位元(DB3-DB0)的指令则被放在第二个位元的LSB部分,另四位元则都为0。即当字符编码为2字节时,应先写入高位字节,再写入低位字节。显示ASCII字符过程与显示中文字符过程相同。不过在显示连续字符时,只须设定一次显示地址,由模块自动对地址加1指向下一个字符位置,否则,显示的字符中将会有一个空ASCII字符位置。欲在某一个位置显示中文字符时,应先设定显示字符位置,即先设定显示地址,再写入中文字符编码。27 数据传输过程图4-78位数据线的传输过程图4-84位数据线的传输过程图4-9串口数据线模式数据传输过程时序图27 图4-10MPU写资料到ST7920(8位数据线模式)串口读写时序图4-11(加入表述,这个是什么的图)27 4.3.2初始化流程图用到12864液晶显示时首先要用其进行初始化下面两图分别介绍了8-位元软件初始化和4-位元软件初始化。图4-128-位元软件初始化27 图4-134-位元软件初始化27 4.3.3仿真结果图图4-14通过仿真结果可以看到,本设计中使用的是串行方式对液晶进行驱动。用FPGA做出SPI的通信时序,对液晶进行读写操作,从而完成对液晶的读写操作。由上图可以看到,仿真出来的时候能够很好的模拟该液晶手册中的串行控制方式的读写时序,能完成对液晶的操作。27 第五章结论温度作为一个重要的物理量,是工业生产过程中最普遍、最重要的工艺参数之一。人们的日常生活、工农业生产和科学实验等许多方面都与温度测量有着十分密切的关系。温度作为一个重要的物理量,是工业生产过程中最普遍、最重要的工艺参数之一。随着时代的进步、社会的发展、科学技术的不断更新,温度的测量范围要求不断扩大,同时温度的测量准确性要求不断提高。对温度测量的要求也越来越高,而且测量范围也越来越大,对温度的检测技术的要求也越来越高。因此,温度检测和温度检测技术的研究也是一个重要的研究课题。本设计采用FPGA芯片做为数据处理控制芯片,虽然从成本上增加,但FPGA的VHDL语言在硬件模块化构建方面具有很大的优势,可以利用其内部的门电路最大限度地将硬件电路模块化处理,极大降低了后期故障查检的工作量。另外,FPGA器件的处理速度可达几百MHz,以及有丰富的可用I/O端口,在实现多路多点及高速温度实时采集时,优势就凸显出来了。由于FPGA具有集成度高,高速、高效率,内部有嵌入式阵列块等特点,易于实现FIFO和ROM,可使整个温度测量系统主要由硬件实现。27 参考文献[1]单慧琳,陈钟荣,张银胜.基于CPLD的温度采集系统的设计[J].微计算机信息,2008,24(35):210-211,220.[2]宋亚伟,李恒宗.基于DS18B20的温度采集控制系统[J].机电工程技术,2008,37(09):89-91.[3]穆振海.基于FPGA的智能温度采集控制器[J].微计算机信息,2008,24(20):225-226,243.[4]肖金球,刘传洋,仲嘉霖.基于FPGA的高速实时数据采集系统[J].电路与系统学报,2005,10(06):128-131.[5]杨林楠,李红刚,张丽莲.基于FPGA的高速多路数据采集系统的设计[J].计算机工程,2007,33(07):246-248.[6]李健,李正平,徐超.基于FPGA_VHDL的温度控制系统设计[J].电子技术,2008,09:20-21.[7]王成,乔晓军,焦春岩.分布式温室环境信息监测系统[J].自动化技术与应用,2005,24(01):54-56.[8]郭强等编著.液晶显示应用技术[M].北京:电子工业出版社,2000.79-89.[9]AndrewMason,NavidYazdi,KhalilNajafi,andKensallD.Wise.Alow-powerwirelessmicroinstrumentationsystemforenvironmentalmonitoring,[MI],1995,6:25-29[10]W.P.GABRIELA,B.Sc,R.A.MORRIS,B,ScANDR.W.ROBOTHAM.NewSystemfortheDigitalSettingofTemperatureandHumidityControllers,1996,383:697.93[11]FerreiraP,M.,FariaE.A.,EruanoA.Neuralnetworkmodelsingreenhouseairtemperaturepredietion.Neuroeomputing〔J〕,2002,43:51一75.[12]DS18B20ProgrammableResolution1-WireDigitalThermomete,DallasSemieonduetor,2003.11-14.27 致谢本文的研究工作是在刘智教授的悉心指导和亲切关怀下完成的。论文的整个进行过程中,都倾注了老师全部的心血。刘智老师追求真理、献身科学、严于律己、宽以待人的崇高品质深深的影响了自己的学生。在日常的学习生活中也给予我悉心的关怀,使我在工作和生活中得到了许多启迪。短短的接触,使我无论在做学问或在做人、办事方面均受益菲浅。在此,我向刘智教授致以最真诚的感谢和最崇高的敬意。感谢所有教导过我的老师们,他们勤勤恳恳的工作着,把各种专业知识毫无保留的传授给我们,使我们掌握了扎实的专业知识,这些知识都将成为我们未来工作的基石。感谢实验室的许娇娇学姐,虞晓凤学姐,陈俊先同学等人,他们对我的论文提出了宝贵的意见,在我遇到困难时给我巨大的帮助,尤其是许娇娇学姐,她给我提供的资料,在我的论文中起到了非常大的作用。对于实验室其他老师和同学们对我的支持和帮助,在此深表谢意。最后感谢我的家人,谢谢他们在我多年求学生活中给予我各方面的支持和理解。由于水平有限,论文中肯定存在许多不妥和错误之处,恳请指正。27

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。
关闭