毕业设计(论文)-基于fpga的ddc(数字下变频)设计与实现

毕业设计(论文)-基于fpga的ddc(数字下变频)设计与实现

ID:6640173

大小:1.43 MB

页数:39页

时间:2018-01-21

上传者:U-5097
毕业设计(论文)-基于fpga的ddc(数字下变频)设计与实现_第1页
毕业设计(论文)-基于fpga的ddc(数字下变频)设计与实现_第2页
毕业设计(论文)-基于fpga的ddc(数字下变频)设计与实现_第3页
毕业设计(论文)-基于fpga的ddc(数字下变频)设计与实现_第4页
毕业设计(论文)-基于fpga的ddc(数字下变频)设计与实现_第5页
资源描述:

《毕业设计(论文)-基于fpga的ddc(数字下变频)设计与实现》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

微系统设计、测试与控制课程大作业之基于FPGA的DDC(数字下变频)的设计与仿真姓名:学号: 摘要1ABSTRACT2第一章绪论31.1数字下变频(DDC)研究背景31.2DDC概述41.3本文研究内容和结构安排5第二章数字下变频(DDC)基础理论62.1数字下变频器62.1.1数字变频的基本原理与结构62.1.2影响数字变频器性能的主要因素62.2数字信号采样理论72.2.1低通信号采样理论72.2.2带通信号采样理论72.3数字正交检波82.3.1低通滤波法92.3.2多相滤波结构变换法102.4多抽样率数字信号处理理论112.4.1整数倍抽取和内插112.4.2多抽样率系统的恒等变换142.4.3多相滤波结构142.5相关算法介绍162.5.1CORDIC算法162.5.2FIR滤波器182.6本章小结19第三章数字下变频(DDC)各模块设计203.1数字下变频的基本实现方案203.2基于DDS的数控振荡器的设计203.2.1混频器模块设计203.2.2DDS的特点223.3抽取滤波233.4本章小结25第四章数字下变频器设计验证和逻辑综合264.1基于DDS的数控振荡器的仿真和验证264.2FIR滤波器的仿真和验证274.3抽取模块仿真验证284.4DDC整体的仿真和验证294.4.1MATLAB与modelsim仿真294.4.2FPGA综合报告314.5本章小结31第五章总结与展望32参考文献342 摘要数字下变频(DigitalDownConvert—DDC)是将中频信号下变频至零频,且使信号速率降至适宜通用DSP器件处理速率的技术。实现这种功能的数字下变频器是软件无线电的核心部分。本文首先对软件无线电数字下变频的国内外现状进行了分析,然后对于FPGA实现数字下变频设计的优势作了阐述。基于本论文在FPGA中实现的结构,结合软件无线电理论基础讨论了DDC的工作原理,给出数字下变频器的常用结构,然后设定整体系统方案,并按功能完成模块划分和接口定义,主要分为混频器、FIR低通滤波器及抽取。通过使用Verilog和调用部分IP核相结合的方法完成多通道DDC各个模块的设计与仿真调试,通过Matlab对各模块进行验证,结果表明设计的思想和结构是正确的。基于FPGA实现的DDC,能充分体现软件无线电系统高的灵活性和宽的适应性,本文所设计的数字下变频系统有一定的通用性。关键字:软件无线电,数字下变频,FIR滤波器,FPGA2 ABSTRACTDigitalDownConvert(DDC)convertsdigitizedIFdataintofilteredbasedanddatawhichcallbeprocessedbyastandardDSPmicroprocessor.DDCisacorepartofaSoftwareRadiosystem.Inthispaper,theDDC’Scurrenttechnologyathomeandabroadwasanalyzed,andthentheadvantagesofusingFPGAtocompletethedesignofDDCweredescribed.ThispaperisbasedonthestructureimplementedinFPGA,combinedwiththesoftwareradiotheoreticaltodiscusstheDDC’sworks,andthengivesthestructureofcommondigitaldownconverter,andthensetthewholesystemsolutions,andthencompletethemoduledivisionandfunctionalinterfacedefinition,mainlydividedintomixer,FIRlow-passfilteranddecimation.ByusingVerilogandpartofIPcoretocompletethedesignandsimulationofmulti-channelDDCcommissioningofeachmodule,validatedbyMATLABforeachmodule,theresultsindicatethatthedesignandstructureofthinkingiscorrect.TheDDCbasedontheFPGAcanshowadequatelythegreatflexibleandbroadadaptabilityofsoftwareradio.TheDDCsysteminthispaperhasdefinitegenerality.Keywords:Digitaldownconverter,Directdigitalsynthesis,FIRfilter,FPGA2 绪论第一章绪论1.1数字下变频(DDC)研究背景雷达(Radar)是用无线电方法发现目标并测定它们的空间位置、速度等信息的一项非常实用的技术。最初,雷达是为了满足对空监视和武器控制的军事需求而研制的,军事应用使得雷达技术的开发得到各国大量的财政支持。随着科技人员更深入的研究,雷达开始在许多重要的民用场合,如飞机、轮船、宇宙飞船的安全飞行,环境遥感,特别是气象遥感等方面也获得了广泛的应用[[]邢孟道,王彤,李真芳等著.雷达信号处理基础.电子工业出版社.2010:1-2]。在早期的雷达收发系统中,都是采用模拟器件来实现各个功能模块,设计过程中经常会出现温度漂移、增益变化等问题。相对于模拟电路来说,数字电路具有可自检、可编程等优点,上面所述的系统很多部分都已经逐步数字化。在数字化进程中,数字信号处理技术的应用也受到了雷达系统研究工作者的重视,成为相关积累(如FFT、数字滤波、脉冲压缩等)、非相关积累(视频积累)、目标检测以及图像处理等功能的技术保证。随着数字信号处理理论的不断成熟和完善,微电子技术的飞速发展,雷达技术和其它的电子信息化技术的发展,尤其是软件无线电技术的兴起,更加方便了雷达数字化系统的实现。在这样的发展趋势下,除了微波发射和射频部分,整个雷达系统将全部由数字电路实现,在数字信号处理的优势能得到全面的发挥的同时,还使具有体制标准化、系统数字化,功能模块化,低功耗,高度开放性以及灵活性等性能,这将成为了现代雷达系统的关键技术和发展趋势[[]梁剑.数字化雷达及其发展.雷达科学与技术.2008,6]。在现今的高科技发展的时代,人们纷纷打起的信息战和电子战,雷达系统在其中扮演的角色尤为重要。为了能更好的适应现代战争的需求,对现今的雷达系统也提出抗干扰、反隐形,具有高分辨力以及强大的自我生存等能力,高要求的提出,使得雷达信号处理技术的研究也得到了快速的进步。目前雷达信号处理正在由视频处理阶段向中频处理阶段迈进,目的就是实现雷达中频以下的处理全部数字化,采用数字中频技术结合以DSP为基础的软件无线电技术正成为现代雷达领域的一个研究热点。现代雷达处理的数据吞吐量基本在每秒几兆到几十兆复数字,使得雷达信号处理必须具有很高的数据处理能力以及运算速度,实时处理要求很高。如果在中频阶段能够直接对数据进行处理,在保留有用信息的基础上减少信号采样点数,19 绪论可以有效的降低后续数据处理的压力;同时若能在发射时利用数字的方式提高信号采样频率,减少由于模拟器件带来的不利影响,可以提高系统可靠性和灵活性。基于此提出了本课题——数字变频器的设计。1.2DDC概述软件无线电起源于军事需求,最早的研究和发展也是在军用无线电台中。然而随着软件无线电概念的不断发展和完善,它不仅在军事应用方面受到重视,民用需求如移动通信领域也开始加大对软件无线电技术的研究,已经逐渐成为未来无线电通信发展的方向。1992年5月,在美国电信系统会议(IEEENationalTelesystemsConference)上,JoeMitola首次明确提出了软件无线电的概念[[]钮心忻,杨义先著.软件无线电技术与应用.北京邮电大学出版社.2001,6],核心思想是搭建具有标准化和模块化特点的一个通用硬件平台,在系统结构相对通用和稳定的前提下,利用软件实现各种功能,使得不同系统之间能够兼容和互联,从而摆脱基于硬件系统结构设计的束缚。由于技术的变化和应用的扩展,目前很难给软件无线电一个严格而全面的定义。但是根据大多同行专家的理解,可以这样定义:软件无线电是将模块化、标准化的硬件单元以总线方式连接成基本平台,并通过软件加载实现各种无线通信功能的一种开放式体系结构。关键思想是:将宽带A/D、D/A尽可能靠近天线,用软件实现尽可能多得无线电功能。现阶段,受各种关键器件特别是A/D、D/A采样速率、工作带宽以及通用DSP器件处理速度的限制,用可编程器件和高速数字信号处理器来代替模拟射频电路是很难实现的,数字中频正成为一种经济、适用的选择[[]王芳.软件无线电发射机的研究.西安电子科技大学硕士论文.2008,1]。数字下变频的处理方式是将雷达回波中频信号下变频至零中频,并降低数据采样速率。数字上下变频器在这里起到了连接基带DSP与ADC/DAC后端器件的作用,它们的目的是把信号的频谱搬移到更高或更低的频率上,改变数据速率,这样能在很大程度上降低对ADC/DAC转换器以及DSP器件性能的要求,便于实现和降低成本。数字下变频不仅在军、民无线通信中获得了应用,而且在其他领域例如电子战,雷达[[]Walke,R.L,Dudley.J,Sadler.D.AnFPGAbaseddigitalradarreceiverforSoftRadar.ConferenceRecordoftheAsilomarConferenceonSignals.SystemsandComputers.v1,2000:73-77],信息化家电等领域也得到推广。数字下变频是软件无线电核心技术之一,数据运算量最大,也是最难完成的部分。目前,实现方案主要有三种。第一种方案是使用DSP数字信号处理芯片,该方案的优点是灵活性高、适应性强,但会受到处理速度等因素的制约,适合于19 绪论数据速率比较低的各种处理。第二种方案是使用FPGA来实现,可以采用并行或者串行的工作方式,在处理速度上优于DSP芯片,灵活性上优于ASIC设计,但消耗的硬件资源比较多。第三种方案是利用ASIC来完成数字下变频的功能,该方案具有计算速度快,单片成本低等优点[[]王宇峰.数字下变频的前端设计.湖南大学硕士论文.2010,4]。现在市场上成熟的数字下变频芯片则有Intersil公司的HSP50016,ADI公司的AD6640[[]AD9957DataSheet.AnalogDevices.www.analog.com]等,它们的功能已经不仅仅是简单的“数字下变频”。1.3本文研究内容和结构安排在硬件设计方面,整个设计采用自顶向下的模块化设计思想,首先确定整体的设计方案,然后对构成系统级DDC各个模块的实现方案进行了理论研究并使用部分IP核进行设计和仿真,最后对数字下变频器的整体进行仿真和分析;在软件仿真方面,使用MATLAB仿真数据的频谱来验证设计系统的正确性。具体结构安排如下:第一章绪论首先介绍了本课题的研究背景和意义,包括软件无线电的基本理论、数字上下变频技术的发展概况。概述了本文的研究内容以及各章节的内容安排。第二章数字变频器的基本理论。本章首先阐述了数字上下变频技术的原理以及影响其性能的主要因素,其次讨论了信号采样理论、数字正交检波技术、多抽样率数字信号处理理论以及数字滤波算法等数字变频的基本理论知识,为数字上下变频技术的设计和实现做理论上的准备。第三章数字下变频器各模块设计。这一章主要介绍了数字下变频的整体设计方案及各个功能模块的具体实现方案,包括数控振荡器、混频器、滤波器以及抽取等各模块设计方案的制定。第四章数字下变频器设计验证和逻辑综合。阐述整个设计过程所用到的验证方法,分模块给出了RTL级设计仿真结果,并分析验证功能的正确性。接着对比并分析了整体的Matlab仿真结果和Modelsim的仿真结果。最后介绍了芯片逻辑综合的流程、优化方法以及综合策略,利用DesignCompiler完成芯片的逻辑综合,并给出综合报告。第五章结束语。对全文作总结和展望,并给出了不足以及今后的工作方向。19 第二章数字下变频(DDC)基础理论第二章数字下变频(DDC)基础理论目前,数字化雷达技术正由视频阶段向中频阶段迈进,在中频阶段实现雷达信号处理数字化[[]丁拉拉.航海雷达中频信号的数字化接收和滤波处理.大连海事大学硕士论文.2011,7]已经逐渐成为一种发展趋势。实现数字下变频这一技术与数字信号处理的理论与算法息息相关,数字信号处理技术成为设计数字变频器的关键。本章主要对数字下变频的设计理论进行讨论和分析,以便为后续的设计做准备。2.1数字下变频器2.1.1数字变频的基本原理与结构数字下变频器(DDC)的主要作用是将输入的雷达回波中频数字信号下变频到数字零中频,从中提取所需要的窄带信号,并降低数据的采样速率。图2.1为基本的数字下变频结构图。模拟中频信号通过ADC采样得到宽带数字中频信号,输入先与数控振荡器产生的两路正交本振信号相乘,生成I/Q两路包含谐波的调制信号,为了得到低速的基带信号,需要进行抽取滤波。图2.1数字下变频结构图2.1.2影响数字变频器性能的主要因素以前基本上采用模拟电路来实现变频器,但这种方法会受各种硬件误差的影响,包括混频器失配、本振信号不是准确90o正交、增益失配、直流漂移或I/Q两支路信号的频率响应不匹配。与模拟变频器相比,数字变频器可提供几种得益。数字变频器避免了这些问题,频率步进、频率间隔等也具有比较理想的性能,在控制和配置更新方面也是模拟变频器无法比拟的,但是它对ADC采样时钟的相位噪声、ADC的非线性和数学舍入噪声敏感,运算速度会受到硬件电路处理能力的限制,并且对ADC的最高采样速率也有了限制。为了实现最大性能需要注19 第二章数字下变频(DDC)基础理论意以下几个因素的影响[[]杨星.数字中频技术的研究和FPGA实现.电子科技大学硕士论文.2009,5]:(1)数控本地振荡器所产生的正交本振信号的频谱纯度;(2)数字混频器的运算精度;(3)滤波器系数二进制表示的精度以及各种滤波器的运算精度;(4)滤波器的阶数;(5)数字变频器的系统处理速度。影响前三点的根本原因是有限字长效应,它带来了数控本振的相位截断效应,同时也给所有模块带来了样本值近似效应,根据截断和近似的程度,系统的整体性能会受到不同程度地影响。要提高整个系统的性能,就要增加运算字长,但字长不可能无限加宽,这就需要在性能和硬件资源开销之间作一个折衷。在处理速度这个问题上,可以利用两种手段提高系统处理速度,用规模换取速度或者采用优化算法。总的来说,性能的提高是以资源的消耗为代价[]崔文.基于FPGA的数字上下变频器的研究和实现.西安电子科技大学硕士论文.2006,1。2.2数字信号采样理论2.2.1低通信号采样理论1927年,奈奎斯特指出了如果对带宽在0~的某一有限连续信号进行抽样,当抽样的速率达到一定的数值时,就可以根据这些抽样值在输出端准确的还原原始信号。为了保证恢复的原信号不发生“半波损失”,采样率至少应该为信号最高频率的两倍,这就是著名的Nyquist采样定理,也称为香农定理。对于某一带限信号以大于或等于Nyquist采样率的采样频率对信号进行抽取,采样后的数字信号完整的保留了原始信号中的信息,原始信号可以精确地从采样点恢复。采样定理实现了用离散的采样值来代替时域连续的模拟信号,并给出了理论上的采样下限,但是考虑到信号的频谱不是锐截止的,最高截止频率以上还有较小的高频分量,为此可选择3~4倍的最大频率[[]丁美玉,高西泉著.数字信号处理.西安电子科技大学出版社.2000,12]。另外,可以在采样之前加一保护性的低通滤波器,滤去高于的一些无用的高频分量以及其他的一些杂散信号。Nyquist采样定理是数字信号处理中最基本的定理,它将贯穿着数字信号处理的始终,从下面的分析中将进一步体现这一点。2.2.2带通信号采样理论19 第二章数字下变频(DDC)基础理论在雷达的应用领域中,信号的频带常常限制在(,)上,虽然可以依据Nyquist采样定理以大于进行采样,但是若信号带宽(=-)远小于,这样的采样率很高,造成数据率过高、数据量过大,以至于后级无法实现。这时并不需要让采样的频率高于两倍的最高截止频率,可以按照带通采样定理来确定抽样频率。带通采样定理:假设一个频带在(,)范围的模拟信号,如果其采样率满足:式(2-1)而其中取满足以下条件的整数:且式(2-2)若原信号和相邻边带之间的频带间隔相等[]郑小姣.雷达中频信号的数字化采集处理.大连海事大学硕士学位论文.2010,06,采样率还可以这样表示:式(2-3)式中满足2()的最大整数,则用进行等间隔采样时,得到的信号采样值能准确地恢复原信号。假设有一信号fH=2NB,上截止频率为带宽的整数倍,若按照低通采样定理,则采样速率为2NB,抽样后的频谱不会发生混叠,无论采用带通还是低通滤波器均能无失真的恢复原始信号,但显然采样带通滤波器的时候的采样速率(=2B)远远低于低通采样定理的要求。一般来说,当带通信号的带宽大于信号的最低频率时,可将其看作为低通信号进行处理,使用低通采样定理进行抽样,而不满足上述条件的时候,采用带通采样定理。带通采样定理要满足以下条件:信号不能同时存在在不同的频带上,意思就是说一个频带上只能有一个信号,否则采样后将会出现信号混叠[[]张高毅.中频直接带通采样数字相关器的研究.电子科技大学硕士学位论文.2006]。在这个前提条件下,对某一信号采样,可以在此之前级联一个与之对应的中心频率上的跟踪滤波器,这样就可出感兴趣的带通信号,然后再进行采样,就能够防止混叠的发生[[]何远欣.数字下变频的FPGA技术的研究.华中科技大学硕士学位论文.2010][[]D.M.Akos,J.B.Tsui.DirectBandpssSamplingofMultipleDistinSignals.IEEETransactionsonCommunications.1999,47(7):983-988]。2.3数字正交检波19 第二章数字下变频(DDC)基础理论在雷达信号处理领域中,信号的数字正交相干检波占据着很重的地位。传统上采用模拟的方法得到的两路信号,该方法的不足就是需要产生两个相互正交的本振信号,若它们产生误差不能正交,就会产生虚假信号。常用的数字正交相干检波的方法有:低通滤波法、插值法、Hilbert变换法和多相滤波结构变换法。本质上插值法和Hilbert变换法均可归结为低通滤波器的设计,但这两种方法都只对一路通道进行滤波,而另一路通道保留了原来的采样值,两路幅度一致性和正交性能的精确取决于所采用滤波器的理想程度。本小节主要介绍低通滤波法和多相滤波法。低通滤波法是最常用的方法,采用普通的低通滤波器来实现,滤波器阶数比较高,而多相滤波法则降低了滤波器的阶数,更容易实现。2.3.1低通滤波法雷达信号通常是窄带的、带通的、相位或频率调制的函数,则其单个散射体的回波波形具有下面形式,实现过程如图2.2所示:式(2-4)其中,幅度调制表示信号的包络,为信号载波的频率,为相位调制式(2-5)其中,,B是信号带宽,是信号时宽。那么模拟中频信号经ADC转换器得到的数字中频信号为:式(2-6)式中,,fs为ADC采样频率。假设本地振荡信号频率为f0,经过正弦波振荡器生成的正交本振信号为,那么这个复信号和数字中频信号相乘之后,得到的混频输出为式(2-7)经过数字混频之后,需要再后面级联一个低通滤波器,滤除其中的和频成份19 第二章数字下变频(DDC)基础理论,保留其中差频成份,就能够得到由中频变至零中频的数字信号。这个基带信号的复数表示形式为:式(2-8)实数表示:I路:式(2-9)Q路:式(2-10)2.3.2多相滤波结构变换法设模拟输入信号形式如式(2-4)所示,按照带通采样理论进行采样,其采样频率fs为:式(2-11)得采样序列为:式(2-12)式中,=,=分别为信号基带上的同相分量和正交分量。若令m=0,由上式可得:式(2-13)式(2-14)令式(2-15)式(2-16)19 第二章数字下变频(DDC)基础理论则可得=式(2-17)=式(2-18)即和两个序列分别是同相分量和正交分量的2倍抽取序列,和在时间上相差半个采样点,是由于采用了奇偶抽取所引起的,可以用两个延时滤波器来校正,两个滤波器的频率响应满足:,且||=||=1式(2-19)这种方法同时对两个通道进行滤波,由于这两通道所采用的滤波器来自于相同的原型滤波器,因此频谱特性非常相似,且相对于理想滤波器的偏差也不会直接影响I、Q两路的一致性,因而能在很大程度上减小I、Q两路的失配。这里需要注意的是,基于多相滤波的正交检波对采样时钟的稳定度有很高的要求。如果要求中频的误差为,结合式(2-11)可得允许的最大采样频率误差为式(2-20)由此可以看出,增大采样速率或者减小中频都可以降低对A/D转换器频率精度的要求[[]宋奇菊.雷达脉冲压缩处理高效算法与关键技术研究.电子科技大学硕士学位论文.2008,5]。2.4多抽样率数字信号处理理论多抽样率数字信号处理是数字信号处理领域的重要部分,通过抽取和内插改变数据处理速率,在近十几年取得了极大的发展并且广泛的应用于如数字音视频处理、图像压缩、数字通信和模拟语音保密系统等领域。在雷达系统中,多抽样率数字信号处理也占据着很重要的地位[[]谢晋强.多抽样率数字信号处理及其FPGA实现.西安电子科技大学硕士学位论文.2010,01]。在发射系统中,内插理论可以应用于数字上变频,进行雷达信号调制将其发射出去。在接收机中,通过数字下变频将接收到的雷达中频回波移频至零中频,以方便后级信号处理。2.4.1整数倍抽取和内插当信号的数据速率需要减小到原来的整数分之一倍时,可以进行整数倍的抽取,也就是对原始采样信号每隔D-l个数据抽取一个点,得到一个新的抽样序列,即:19 第二章数字下变频(DDC)基础理论式(2-21)式中,D为正整数,称之为抽取因子。抽取的过程如图2.2所示:图2.2抽取的过程可以看出,抽取之后的数据采样率仅为原来的1/D。若原始序列的采样速率是,那么它的无模糊信号带宽就为/2,经过D倍抽取后所产生的信号的采样率就变为/D,无模糊信号带宽应该是/(2D)。如果在原始信号序列中存在大于无模糊信号带宽的频率分量,那么抽取后的信号就会发生频谱混叠,从而导致从新序列中无法将原始序列完全的复原[[]杨小牛,楼才义,徐建良著.软件无线电原理与应用.电子工业出版社.2001,8]。对抽取序列进行离散傅里叶变换,根据数字信号的理论可以证明抽取后的频谱与抽取前频谱的关系为:式(2-22)从公式(2-22)中可看出,抽取后信号的频谱就是原来信号频谱经频移和D倍扩展后得到的D个频谱叠加而成,幅度缩减为原来的1/D。因此,原信号序列的频谱必须限制在[-/D,/D]之间,否则经过D倍抽取之后,信号频谱将会发生混叠。数据抽取前后的频谱变化如图2.4所示。为了避免混叠,在抽取之间需要加一个带宽为/(2D)的抗混叠低通滤波器,再经过D倍的抽取,新序列的频谱就不会发生混叠。但是当原始信号的频谱分量本身就小于/(2D),前置低通滤波器则可以省掉。19 第二章数字下变频(DDC)基础理论图2.3抽取前后的频谱变化当信号的数据速率需要提高到的原来的整数倍时,可以采用整数倍内插,即在原始采样序列的两个相邻采样点之间插入I-l个零值从而得到新的抽样序列,即:式(2-23)式中,I为正整数,称为内插因子,图2.5所示为整数倍内插的过程。图2.4内插的过程对内插序列进行傅里叶变换,可以看出频域上内插前后频谱的关系为:式(2-24)由上式可知,内插后的信号频谱是原始序列频谱经I倍压缩后得到的。从下图可见内插不会发生信号频谱混叠现象。但是中不仅含有的基带分量,而且还含有频率大于/I的高频分量,为了能从恢复原始谱,则必须在内插后经过一个带宽为/I的滤波器。值得指出的是,利用内插不仅可以提高时域分辨率,而且也可以用来提高输出信号的频率,这就为后续的信号调制做了准备,数据内插前后的频谱变化如图2.5所示。19 第二章数字下变频(DDC)基础理论图2.5内插前后的频谱变化2.4.2多抽样率系统的恒等变换通常在多采样率系统中,总是设法将乘法运算安排低采样率一侧,使得滤波器的计算工作量最小。但从前面抽取和内插的介绍中可以看出,滤波器的运算均在采样率较高的一侧,这对信号的实时处理很不利,将消耗大量硬件资源。本小节将以信号流图的形式给出提高数据运算率的结构——Noble定理[[]陶然,张惠云,王越.多抽样率数字信号处理理论及其应用.清华大学出社.2007,4]。简单的恒等变换结构如图2.6所示。图2.6恒等变换结构如果通过某种"改造"使得抽取滤波器置后、插值滤波器置前,这样滤波能工作在系统速率较低的路径上,会给整个系统的结构设计带来好处。这些等效变换结构在数字上下变频的设计中有着非常重要的作用。如何提高多采样率系统的运算效率将在后续章节中讨论。2.4.3多相滤波结构从上一小节的分析中可知,有效提高系统效率的关键在于如何“改造”抽取滤19 第二章数字下变频(DDC)基础理论波器和插值滤波器。多相分解理论就可以在一定程度上解决这个问题。设FIR滤波器的冲击响应为,则其变换定义为:式(2-25)式中N为滤波器长度,如果将冲激响应分为D组,并设N可以被D整除,即(当Q不为整数时,可通过补零加长到P,使为整数)。则求和式可重写为:式(2-26)令式(2-27)则式(2-28)式称为的多相表示,图2.7(a)给出了抽取滤波器多相结构的网络图。根据上节的多样抽取恒等变换理论,其等效结构如图2.7(b)所示。图2.7(a)抽取滤波器多相结构的网络图;(b)等效结构图如果把上式中定义为,并把抽取因子D换成插值因子I,则公式(2-28)变为式(2-29)。内插器的多相滤波等效变换前后的网络结构如图2.819 第二章数字下变频(DDC)基础理论所示:式(2-29)图2.8内插器的多相滤波等效变换前后的网络结构2.5相关算法介绍2.5.1CORDIC算法在数字信号处理领域中常常会遇到比如三角函数运算、矢量旋转以及指数函数运算等基本数学函数的计算问题,为了解决这些计算问题,JackVolder[[]JEVolder.TheCORDICtrignometricomputingtechnique.IRETrans.Electron.Computers.1959,9:330~334]于1959年提出了CORDIC(CoordinateRotationDigitalComputer)算法。该算法的基本思想是用一系列固定的与运算基数相关的角度不断地偏转从而逼近目标角度,从本质上讲是一种数值线性计算的逼近算法。由于固定的角度序列与运算基数有关,运算可以分解为简单的移位和加/减操作,故非常适合硬件实现。正是基于以上原因,CORDIC算法得到了广泛的应用,如解调器、各种滤波、FFT和神经网络等诸多领域。CORDIC算法包含圆周系统,线性系统,双曲系统三种旋转系统,每种系统又分别具有两种运算模式,即旋转模式和向量模式。本文采用旋转模式,下面在圆周系统下对CORDIC算法进行介绍。它在圆周系统完成的是一个平面坐标旋转,如图2.9所示:19 第二章数字下变频(DDC)基础理论图2.9CORDIC算法圆周系统平面坐标旋转从图中可以看出,将向量旋转角之后,就会产生新的向量,由矩阵形式表示:式(2-30)式中的R是圆周的半径。如果采用迭代的方式,那么就可以在多步旋转内完成一个旋转角度,每一步的旋转完成目标角度的一小部分,多步旋转之后将会实现一个平面坐标的旋转。消除cos因子之后,得到单步旋转公式为:式(2-31)令,,其中={-1;+1}。显然,所有的迭代角度之和一定等于目标旋转角度,其中单步旋转的角度Zn可表示为:式(2-32)为的符号函数。在旋转模式下,当Zn<0,=-1;Zn>0,=+1;综合上述等式可得:式(2-33)经过N次迭代,也就是向量旋转N次以后:式(2-34)从式(2-34)中可以看出,对于给定次数的向量旋转,所有的cos乘积项K是一19 第二章数字下变频(DDC)基础理论个常数,可以提出来。当迭代次数N趋向于无穷大时,K值收敛于:式(2-35)在旋转模式中,Z初始化为需要旋转的角,当Z旋转为0时,CORDIC算法的最后输出如式(2-36):式(2-36)2.5.2FIR滤波器滤波从时域角度看是从接收信号中提取目标信号并去除不感兴趣信号的过程,从频域角度看是根据某一希望的指标对信号的频谱进行修正、整形或处理的过程。数字滤波器在运算精度、可靠性、应用灵活性和处理能力等方面比模拟滤波器都更有优势。根据系统时域性能,数字滤波器分为有限长单位脉冲响应(FiniteImpulseResponse,FIR)和无限长单位脉冲响应(InfiniteImpulseResponse,IIR)滤波器。FIR滤波器最主要的特征之一就是具备线性相位的特性,也就是说,对频率不一样的正弦波,滤波器产生的相移以及正弦波自身的频率之间成直线关系。所以,通过滤波器通带的信号,除了由相频特性的斜率引起的延迟外,通带内的所有信号基本都能够不失真地保存下来,这一点在很多应用场合都有所需求。FIR滤波器的脉冲响应由有限个采样值构成,设单位冲激响应的长度为N,其系统函数和差分方程分别如下式所示。式(2-37)式(2-38)其中N为FIR滤波器的阶数,为第n级系数,为输入,为卷积输出。滤波器的设计方法主要有窗函数法、频率采样法和切比雪夫等波纹逼近法。现阶段应用Matlab软件中的FDATool工具可以很方便的设计各种滤波器,在后文中的工作中再详细的介绍FIR滤波器的设计。19 第二章数字下变频(DDC)基础理论2.6本章小结本章作为论文设计的理论依据,对数字下变频处理的理论基础作了详细的介绍,包括信号采样理论、多速率信号处理理论、数字滤波器理论以及数字正交检波技术等,为后续的数字下变频打下理论基础。通过对多速率信号处理理论的研究,发现了数字下变频的多速率处理中将会遇到的问题,得出解决此类问题的办法。通过对数字滤波器理论的介绍,了解了数字滤波器在数字下变频中的重要作用。这些理论非常重要,贯穿全文,是整个数字化中频接收机从方案设计到具体实现的理论依据。19 第三章数字下变频(DDC)各模块设计第三章数字下变频(DDC)各模块设计3.1数字下变频的基本实现方案数字下变频器输出信号的后续处理,主要是完成信号解调、解码、抗干扰、自适应均衡以及信号参数估计等工作。由于正交分解后的I/Q两路基带信号对上述后续处理通常带来很大的方便和良好的性能,因此大部分数字下变频设计方案都采用了正交双通道处理的典型结构。图3.1是本设计采用的整体实现方案,主要包括4个基本模块:混频器模块、FIR滤波抽取模块。图3.1数字下变频器的整体实现方案模拟中频信号经由前端的模数转换器(ADC)采样而得到数字中频信号,数字信号先与数控本振(NCO)产生的两路正交本振信号在混频器(乘法器)中进行混频,将数字中频搬移到基带。经过数字混频器后的信号被输入到一个低通滤波器中,滤除倍频分量和带外的无用信号,然后再进行采样率的抽取处理。将整个DDC模块分为以下3个基本模块进行分别设计:混频器模块、FIR滤波器模以及抽取模块。下面就分别介绍这三个基本模块具体的实现方案和算法。3.2基于DDS的数控振荡器的设计3.2.1混频器模块设计本模块主要包括数控振荡器(NumericallyControlledOscillators)和混频器(乘法器),数控振荡器(NCO)的目标就是产生一个理想的正弦或余弦波(下面用正弦来通称正弦或余弦),更确切的说是产生一个可变频率的正弦波样本,如下式:式(3-1)式中,为本地振荡频率,为输入信号的采样频率。35 第三章数字下变频(DDC)各模块设计正弦波样本的产生主要有两种方法[[]杨永齐.软件无线电数字下变频技术的研究与实现:(硕士学位论文).重庆:重庆大学,2006.]:①实时计算。实时计算需要计算特殊的三角函数,正弦函数和余弦函数都是非线性的函数,在普通的DSP处理器中实现比较复杂,耗费的资源较多,因此一般不采用实时计算的方法。②查表法。即事先根据各个DDS的相位计算好对应的正弦值,并按照相位角度作为地址存储该相位的正弦值数据。DDC工作时,每向DDC输入一个待下变频的信号采样样本,DDS就增加一个相位增量,然后按照相位累加角度作为地址,查找该地址对应的数据并送到数字混频器,与信号样本相乘,乘积样本再经低通滤波器滤波输出,这样就完成了数字下变频。基于DDS的NCO的FPGA实现如下图3.2所示:图3.2NCO的FPGA实现框图NCO由三部分组成:包括相位累加器、相位加法器及正/余弦表只读存储器。相位累加器将输入的数字本振频率与本振偏移频率之和转换成相位,每来一个时钟脉冲,相位在原来的基础上增加一个相位增量。相位加法器的功能是产生一定的初始相位,并通过累加器直接对DDS输出的相位进行调整。DDS的频率精度由累加器的字长决定,但由于受存储容量的制约,为了保证足够的频率分辨率,累加器的位数要足够长。如果直接将累加的结果作为正弦查找表的输入地址,正弦查找表将会很长,这样会浪费很多的存储资源[[]魏福立.直接数字合成技术应用.电子技术应用[J],1993(5).]。在FPGA实现时,必须考虑资源的使用情况,因此需要对累加器的输出进行截取以得到地址信息。这种截取不会降低频率分辨率,但是会带来相位噪声,因为截取后的相位查找表的准确度将会大大降低。但是正弦查找表的大小会大大降低,相位的正弦值由查找表获得,也就是说,相位角度与其正弦值表存在一一对应关系,,表示以为地址,该地址上的内容数据。其实只要保持一一对应关系,正弦查找表的地址不一定要是真正的相位值。35 第三章数字下变频(DDC)各模块设计3.2.2DDS的特点由于DDS采用了不同于传统频率合成方法的全数字技术,因而具有许多直接式频率合成技术和间接式频率合成技术难以实现的特点。DDS频率合成技术的特点主要如下[[]杨力生.数字下变频的研究:(硕士学位论文).重庆:重庆大学,2004.]:①频率分辨率高。这是DDS最主要的优点,由式(3-1)可知,当参考时钟频率确定以后,DDS的频率分辨率由相位累加器的字长N决定。理论上讲,只要相位累加器字长N足够大,就可以得到足够高的频率分辨率。当,DDS产生的最低频率称为频率分辨率,即:式(3-2)例如,时钟采用,相位累加器的字长为48位,频率分辨率可达,这是传统频率合成技术所难以实现的。②输出频率相对带宽。DDS的输出频率下限对应于频率控制字K=0时的情况,,即可输出直流。根据Nyquist定理,从理论上讲,DDS的输出频率的上限应为,但由于低通滤波器的非理想过渡特性及高端信号频谱恶化的限制,工程上可实现的DDS输出频率上限一般为:式(3-3)因此采用DDS技术,可以合成几乎从直流到的频率,既DDS的输出频率范围一般是。这样的相对带宽是传统频率合成技术无法实现的。③频率转换时间短。这是DDS的又一主要优点,DDS是一个开环系统,无反馈环节。这样的结构决定了DDS的频率转换时间是频率控制字的传输时间和以低通滤波器为主的器件频率响应时间之和。在高速DDS系统中,由于采用了流水线结构,其频率控制字的传输时间等于流水线与失重周期的乘积,低通滤波器的频率响应时间随截至频率的提高而缩短,因此高速DDS系统的频率转换时间极短,一般可达纳秒量级。④频率改变时,输出相位连续。从DDS的工作原理可以看出,当改变其输出频率时,是通过改变频率控制字K实现的,实际上改变信号的相位增长速率,而输出信号的相位本身是连续的,这就是DDS频率变化时的相位连续性。在许多应用系统中,如跳频通信系统,都需要在变频过程中保证信号相位的连续性,以避免相位信息的丢失和出现离散频率分量。传统的频率合成技术做不到这一点。35 第三章数字下变频(DDC)各模块设计⑤数字调制功能。由于DDS采用全数字结构,本身又是一个相位控制系统,因此可以在DDS设计中方便地加上数字调频、调相以及调幅功能,以产生ASK、FSK、PSK、MSK等许多种信号。⑥工作频带的限制。这是DDS的主要缺点之一,是其应用受到限制的主要因素。根据DDS的结构原理,DDS的工作频率显然受到器件速度的限制,主要是指ROM和DAC的速度的限制。随着微电子技术的发展,会出现更高速度的DDS器件。⑦相位噪声性能。DDS的相位噪声主要由参考时钟信号的相噪、参考时钟的频率和输出频率之比的关系和器件本身的噪声基底决定。从理论上讲,输出信号的相位噪声会对参考时钟的相位噪声有的改善。但在实际工程中,必须要考虑包括相位累加器、ROM和DAC等在内的各部件噪声性能的影响。⑧杂散抑制差。由于DDS一般采用了相位截断技术,它的直接后果是给DDS的输出信号引入了杂散。同时,波形存储器的波形幅度量化所引起的有限长效应和DAC的非理想特性也都对DDS的杂散抑制性能产生很大的影响。杂散抑制较差是DDS的又一缺点。另外,集成化、功耗低、体积小、重量轻、便于程控也是DDS的特点。数字下变频中的正交本地载波是由数控振荡器提供的,而数控振荡器是通过直接数字合成器实现的。本章详细介绍了DDS的工作原理,以4bit为例演示了DDS的相位量化原理。最后概述了基于DDS的NCO的设计,并指出了DDS的特点。3.3抽取滤波采样信号经过数字正交变频后,关心的频谱成分被搬移到零中频,此时需要低通滤波将关心的低通带宽以外的噪声以及不关心的高频成分滤除。由于采用带通采样结构,正交变换时的信号采样率人相对于关心信号带宽而言往往很高,远远超过了Nyquist采样率。这导致包括低通滤波在内的后续处理可能因为运算量太大而不能有效处理数据。因此设计变频解调器时考虑在保证关心信号不失真的前提下尽可能降低信号采样率,减少运算量,这就是抽取滤波器的作用。FIR[[]刘艳,赵洪,于效宇等著.可配置参数FIR数字滤波系统设计.数据采集与处理.2009年,11月,第24卷6期:835-839](FiniteImpulseResponse,有限脉冲响应)滤波器由有限个采样值组成,在每个采样时刻完成有限个卷积运算,可以将其幅度特性设计成多种多样,同时还可保证精确、严格的相位特性。在高阶的滤波器中,还可以通过FFT来计算卷35 第三章数字下变频(DDC)各模块设计积,从而极大地提高运算效率。这些优点使得FIR得到了广泛的应用。FIR滤波器只有N个抽头,N也被称为滤波器的阶数,则滤波器的输出可以通过卷积的形式给出:式(3-4)其中,一直到均是滤波器的L阶系数,同时也对应于FIR的脉冲响应。对于LTI系统可以更为方便地将式(3-4)表示成Z域内的形式:其中F(z)是FIR的传递系数,其Z域内的形式如下:式(3-5)其中是FIR的传递系数,其z域内的形式如下:式(3-6)可以看出,FIR滤波器只在原点处存在极点,就使FIR滤波器具有全局稳定性。FIR滤波器是由一个“抽头延迟线”加法器和乘法器的集合构成的,每一个乘法器的操作系数就是一个FIR系数。因此也被称之为“抽头延迟线"结构。FIR滤波器的一个重要特性是具有线性相位,即系统的相移和频率成比例,可达到无失真传输。图3.3给出了L阶LTI型FIR滤波器的图解。图3.3直接形式的FIR滤波器直接FIR模型的一个变种称为转置式FIR滤波器,可以根据图3.3的FIR滤波器来构造:互换输入和输出,颠倒信号流的方向。用一个差分放大器代替一个加法器,反之亦可。35 第三章数字下变频(DDC)各模块设计图3.4转置结构的FIR滤波器图3.4给出了转置结构的FIR滤波器,该滤波器的优点在于不再需要给提供额外的移位寄存器,而且也没有必要为达到高通过量给乘积的加法器(树)添加额外的流水线级。3.4本章小结数字中频技术采用中频采样理论,其本质就是使信号处理尽可能多的在数字域内完成。根据上一章节给出的基本理论和算法,本章分三小节详细地讨论了数字下变频整体设计方案和关键功能模块的基本设计结构并确定设计实现方案。具体包括基于DDS的数控振荡器、FIR滤波器及抽取这些模块。对于上述的混频器和低通FIR滤波器的设计,属于本文的重点。35第四章数字下变频下变频器设计验证与逻辑综合35 第四章数字下变频下变频器设计验证与逻辑综合第四章数字下变频器设计验证和逻辑综合在整个设计过程中,首先利用Matlab根据数字变频器的实现算法与要求进行系统建模仿真,再分模块进行数学建模仿真。相应地采用VerilogHDL硬件描述语言,分模块实现各模块的RTL级设计并进行功能仿真。比较仿真结果与建模结果,验证功能正确后整合所有的模块得到最终的数字变频器,对整体进行功能仿真验证。最后阐述了逻辑综合的原理、优化方法以及综合策略,并对经验证的RTL描述的数字变频器进行逻辑综合,给出其综合结果并对结果进行了分析。4.1基于DDS的数控振荡器的仿真和验证首先使用IP核产生DDS,使用Matlab对其输出信号进行仿真分析;然后使用DDS和专用乘法器一起构成整个混频器模块来进行仿真分析。由第三章的介绍可知,DDS是实现数控振荡器(NCO)的主要方式,首先使用ISE14.4中的CoreGenerator来实现DDS,产生IP核时设定的一些主要参数如下:输出单通道,系统时钟频率为100MHz,输出正余弦信号的频率为4MHz;输出数据位数为6,输出的无虚假动态范围为36dB,频率分辨率为0.0233Hz;累加器输出的数据宽度为6。其中IP核产生DDS的主界面如下图:35 第四章数字下变频下变频器设计验证与逻辑综合图4.1DDSIP核生成界面接下来就要对产生的DDS进行功能仿真,在ISE中新建工程,选择所要使用的器件xc4vlx160-12ff1148,使用的仿真工具为ModelSimSE,如图4.2所示。图4.2混频器的Modelsim仿真从图中可以明显看到,输出数据的采样速率仅为输入数据的一半,抽取后输出的两路数据其实就是输入的奇数组数据和偶数组数据,分别作为后面的I/Q两路的输入数据。前面提到的符号校正,其实就是这里的混频过程,实质是1、-1循环序列与这两路数据的相乘。从图中可以清楚的看出每一路数据的第2n+1(n=0,1,…)个数据均为原始输入数据的相反数,实现了混频的功能。4.2FIR滤波器的仿真和验证35 第四章数字下变频下变频器设计验证与逻辑综合假设输入信号为一个随机数列。此处设计的滤波器8阶的,由matlab获取8阶FIR滤波器的参数:图4.3滤波器系数确定用Verilog实现滤波器的硬件描述,需要将系数进行量化后再作为FIR滤波器的系数输入,将一个标准的正弦波作为输入,经过8阶低通FIR滤波器,图4.4是在ModelSimSE10.1b中仿真结果。图4.4FIR滤波器的Modelsim仿真输出波形4.3抽取模块仿真验证本设计采用4倍抽取,假设输入为一个标准正弦波,经过抽取模块,其波形35 第四章数字下变频下变频器设计验证与逻辑综合变换如下:图4.5抽取模块modelsim仿真波形4.4DDC整体的仿真和验证4.4.1MATLAB与modelsim仿真此DDC设计采用简单的SIN信号作为输入,由MATlAB产生,具体时域图与频谱图如下:图4.6输入信号的时域与频谱图经过混频以、FIR滤波器及抽取、得到I、Q两路正交输出,整个过程的MATLAB的仿真效果如图4.6所示:35 第四章数字下变频下变频器设计验证与逻辑综合图4.7I、Q两路信号时域与频域图由MATLAB产生的信号截尾取整以十进制的形式写入TXT文件,在ISE14.4中写Testbench时将TXT文件中的数据导入到信号输入端I_sig,调用Modelsim进行仿真后的输出波形如图4.8所示:图4.8DDC的Verilog时序仿真结果由MATLAB产生的仿真波形跟图4.8对比发现波形走势一样,从整体上可说明设计的正确性,不过也存在着一些误差,比如:数据位数的处理、滤波器的系数、抽取的倍数等,有待进一步的调试与改进。35 第四章数字下变频下变频器设计验证与逻辑综合4.4.2FPGA综合报告在ISE环境下完成数字下变频器的设计,编译可得到整个系统占用资源的情况如下图4.9所示:图4.9资源占用总结4.5本章小结本文关于数字上下变频的设计是用Verilog语言实现,以Modelsim作为主要的仿真工具,Matlab作为辅助的系统建模和功能验证的工具。根据数字下变频的基本实现方案,在ISE14.4中实现了各模块的设计与仿真,再从模块级给出Modelsim功能仿真结果,同时在Matlab环境与相应的仿真输出作对比分析,验证设计正确。之后整合设计并对数字变频器整体进行验证,分析表明设计正确。最后分析了其在实现过程中占用FPGA资源情况。最后又根据本设计指出在设计和开发FPGA的过程中需要注意和改进的一些问题。35 第五章总结与展望第五章总结与展望在现代的雷达技术发展过程中,雷达信号处理在雷达系统的设计中占据着重要的地位,软件无线电思想和数字中频技术的结合在其中扮演的角色也越来越重要。本文主要介绍数字下变频的设计,这也是软件无线电中运算量最大的部分。在查找和阅读大量文献的基础上,以多抽样率数字信号处理理论和滤波理论为核心,综合数字振荡技术和混频等技术,完成了数字下变频器的设计。本文针对软件无线电中的数字下变频技术展开研究,通过FPGA实现了数字下变频功能,主要研究了数字下变频的信号处理相关理论和FPGA的关键技术以及关键模块的硬件实现技术,全文完成的主要研究工作有:1.分析了软件无线电技术中的关键技术一数字下变频技术,讨论了软件无线电的基本理论,这些理论是实现数字下变频的理论基础,包括信号采样理论、多信号处理理论和数字正交变换理论。在此基础上,深入探讨了实现数字下变频的电路结构与实现算法,并对其关键技术的模块进行了深入的研究,包括混频与抽取滤波。通过分析明确了实现数字下变频的关键技术要点。2.较详细的研究了FPGA的设计技术,讨论了FPGA的结构与特点,分析了FPGA的设计流程,并且研究了FPGA的关键设计思想与设计原则,在此基础上,分析了采用FPGA实现DSP算法的可行性与优越性,以及使用FPGA相比于使用DSP专用芯片的优势。通过分析得出FPGA实现数字下变频中的DSP算法是切实可行,且行之有效的。3.针对本文采用的是FPGA设计实现数字下变频的方案,完成了各模块的仿真,在此基础上用VerilogHDL完成硬件设计,通过ISE软件完成设计的综合,从而完成整个设计的FPGA硬件实现与验证。通过仿真和结果分析,该方案是切实可行的。目前,随着集成电路工艺的改进,集成电路的规模也越来越大,已经出现了4通道甚至6通道的数字下变频芯片。因此,可以考虑对设计做一定的优化后,实现多通道数字下变频在单片FPGA上的集成。本文用FPGA实现了软件无线电中的关键技术之一数字下变频(DDC),摆脱了对商用的DDC芯片来实现数字下变频功能的依赖,而使用商用DDC芯片价格昂贵,灵活性不强,所受的限制较大,该方案具有设计灵活,修改方便,完全可35 第五章总结与展望编程的优点,在价格方面也具有很大的优势,因此用FPGA来实现数字下变频具有实际意义和研究价值。现在,由于FPGA本身的逻辑资源规模不断扩大,内部集成了越来越多的乘法器、RAM甚至处理器核,形成“片上系统”,而且FPGA具有基于交换理论的高速串行互联能力,另外,基于数据流的强大定点处理能力使FPGA在信号处理领域具有较强的竞争力。因此可以说,用FPGA来实现信号处理已经是非常切实可行的了。目前,先进的ASIC生产工艺已经被用于FPGA的生产,越来越丰富的处理器内核被嵌入到高端的FPGA芯片中,基于FPGA的开发已成为一项系统级设计工程。可以预见,随着半导体制造工艺的提高,FPGA的集成度将不断提高,制造成本将不断降低,FPGA一定可以更广泛地应用于现代通信系统和雷达系统中,从而大大的提高现有系统的性能。35 参考文献参考文献35

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。
关闭