北理工可编程逻辑器件实验报告.docx

北理工可编程逻辑器件实验报告.docx

ID:52674543

大小:188.82 KB

页数:7页

时间:2020-03-29

北理工可编程逻辑器件实验报告.docx_第1页
北理工可编程逻辑器件实验报告.docx_第2页
北理工可编程逻辑器件实验报告.docx_第3页
北理工可编程逻辑器件实验报告.docx_第4页
北理工可编程逻辑器件实验报告.docx_第5页
资源描述:

《北理工可编程逻辑器件实验报告.docx》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、本科实验报告实验名称:可编程逻辑器件实验报告课程名称:可编程逻辑器件实验时间:任课教师:实验地点:实验教师:实验类型:□原理验证■综合设计□自主创新学生姓名:学号/班级:组号:学院:同组搭档:专业:成绩:9999计数器一、实验目的编程实现一个含清零功能9999计数器,并用7段数码管显示。二、实验器材EPM7128STC100-15,计算机三、实验过程(1)原理分析a、分频要实现一个0-9999计数器,并且肉眼可观,但是EPM7128STC100-15系统只有一个6M的时钟,频率太高,肉眼不可见,因此得用一个分频器将系统时钟降下来。本实验用了6个7

2、4LS190BCD计数器级联,可实现1000000分频,从而将系统时钟变为6HZ。b、计数系统分频之后,接下来实现计数,仍然用74LS190BCD计数器4个级联实现0~9999的计数功能,将每个计数器的管脚输出。c、扫描实验要求用4个7段数码管输出,计数输出是4个二进制数,因此本步骤的功能是将每个二进制数对应于一个7段数码管,再把单片机系统的时钟(6MHz)作为扫描的时钟,从而实现4个7段数码管同步显示。d、译码计数输出的是0000~1001二进制形式的数,而7段数码管是a~b~c~d~e~f~g七段数码管,要实现这两个的连接,需要一个译码器,来

3、将这四个数同步的显示在七段数码管上。(2)程序及图形设计a、分频和计数图形设计如下:画好上面的图以后编译后再将上面的设计为一整个芯片,如下图所示:b、扫描代码:--********************************************LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_ARITH.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;--********************************************ENTITY

4、ScanerisPORT(rst:instd_logic;--SystemRSTclk:instd_logic;--SystemClocka,b,c,d:instd_logic_vector(3downto0);o_data:outstd_logic_vector(3downto0);o_comm:outstd_logic_vector(3downto0)--dispbitctrl);ENDScaner;--*********************************************architectureactionofScane

5、ristypes_typeis(LED0,LED1,LED2,LED3);signals_LED:s_type;--StateSignalDeclarebeginprocess(clk,rst)beginifrst='0'theno_comm<="1111";o_data<="1111";s_LED<=LED0;elsifclk'Eventandclk='1'thencases_LEDis--enterthestateofLEDwhenitbeginwhenLED0=>o_comm<="1110";o_data<=d;s_LED<=LED1;wh

6、enLED1=>o_comm<="1101";o_data<=c;s_LED<=LED2;whenLED2=>o_comm<="1011";o_data<=b;s_LED<=LED3;whenLED3=>o_comm<="0111";o_data<=a;s_LED<=LED0;whenothers=>s_LED<=LED0;o_comm<="1111";endcase;endif;endprocess;--/////////////////////////////////////////////endaction;同理,画好上面的图以后编译后再将

7、上面的设计为一整个芯片,如下图所示:c、译码源代码:--********************************************LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_ARITH.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;--********************************************ENTITYencoderisPORT(i_data:instd_logic_vector(3downto0);--Sy

8、stemDataBus(in)i_rst:instd_logic;--SystemRSTo_code:outstd_logic_vect

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。