北理工vhdl实验报告

北理工vhdl实验报告

ID:5709690

大小:1.16 MB

页数:24页

时间:2017-12-23

北理工vhdl实验报告_第1页
北理工vhdl实验报告_第2页
北理工vhdl实验报告_第3页
北理工vhdl实验报告_第4页
北理工vhdl实验报告_第5页
资源描述:

《北理工vhdl实验报告》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、本科实验报告实验名称:VHDL语言及集成电路设计实验课程名称:VHDL语言及集成电路设计实验时间:2014.5任课教师:桂小琰实验地点:4-427实验教师:任仕伟实验类型:□原理验证□综合设计□自主创新学生姓名:学号/班级:组号:学院:信息与电子学院同组搭档:专业:电子科学与技术成绩:实验一:带有异步复位端的D触发器一、实验目的(1)熟悉linux操作环境和modelsim软件环境(2)理解时序逻辑和组合逻辑电路的区别(3)理解并行语句和顺序语句(4)用VHDL语言编写一个带有异步复位端的D触发器及其测试文

2、件二、实验原理(1)组合逻辑和时序逻辑组合逻辑电路当前输出的值仅取决于当前的输入,不需要触发器等具有存储能力的逻辑单元,仅仅使用组合逻辑门时序逻辑电路的当前输出不仅取决于当前的输入,还与以前的输入有关,这类电路中包括寄存器等元件,也包括组合逻辑电路,寄存器通过一个反馈环和组合逻辑模块相连。触发器便是属于时序逻辑电路(2)并行和顺序代码从本质上讲,VHDL代码是并发执行的。只有PROCESS,FUNCTION或PROCEDURE内的代码才是顺序执行的。当它们作为一个整体时,与其他模块之间又是并发执行的。以下是

3、3个并发描述语句(stat1,stat2和stat3)的代码,会产生同样的电路结构。stat1stat3stat1stat2=stat2=stat3=其他排列顺序stat3stat1stat2(3)并行语句——进程(PROCESS)语法结构:[进程名:]PROCESS(敏感信号列表)[变量说明语句]…BEGIN…(顺序执行的代码)…ENDPROCESS[进程名];PROCESS的特点1多进程之间是并行执行的;2进程结构内部的所有语句都是顺序执行的;3进程中可访问结构体或实体中所定义的信号;4进程的启动是由敏

4、感信号列表所标明的信号来触发,也可以用WAIT语句等待一个触发条件的成立。5各进程之间的通信是由信号来传递的。(4)带有异步复位端的D触发器电路符号功能表RDCPQ0xx01x0保持1x1保持10上升沿011上升沿1三、实验代码LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYdffISPORT(d,clk,rst:INSTD_LOGIC;q:OUTSTD_LOGIC);ENDdff;ARCHITECTUREbehaviorOFdffISBEGINPROCESS(rs

5、t,clk)BEGINIF(rst='1')THENq<='0';ELSIF(clk'EVENTANDclk='1')THENq<=d;ENDIF;ENDPROCESS;endARCHITECTUREbehavior;测试文件:libraryIEEE;useieee.std_logic_1164.all;entitydff_tbisenddff_tb;architecturetb_behaviorofdff_tbiscomponentdffport(d,rst,clk:instd_logic;q:outst

6、d_logic);endcomponent;constantclk_period:time:=50ns;signald,clk,q,rst:std_logic;begindut:dffportmap(d=>d,clk=>clk,rst=>rst,q=>q);clk_gen:processbeginclk<='0';waitforclk_period/2;clk<='1';waitforclk_period/2;endprocess;d_gen:processbeginwaitfor100ns;d<='1';

7、waitfor100ns;d<='0';endprocess;rst_gen:processbeginrst<='1';waitfor150ns;rst<='0';waitfor500ns;rst<='1';waitfor150ns;wait;endprocess;endtb_behavior;四、仿真结果实验二步进电机控制器一、实验目的(1)理解两种状态机的区别(2)熟悉两种编程风格(3)编写BCD计数器和步进电机二、实验原理(1)米里型状态机和摩尔型状态机米里(Mealy)型状态机:状态机的输出信号不仅

8、与电路的当前状态有关,还与当前的输入有关摩尔(Moore)型状态机:状态机的当前输出仅仅由当前状态决定(2)有限状态机设计流程:1理解问题背景。2逻辑抽象,得出状态转移图。3状态简化。4状态分配。5用VHDL来描述有限状态机。(3)BCD计数器原理图(4)步进电机控制器原理图步进电机状态与输出信号的对应关系状态输出状态S0S1S2S30001001001001000三、实验代码(1)BCD计数器libraryie

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。