基于FPGA的数字频率计【文献综述】

基于FPGA的数字频率计【文献综述】

ID:463269

大小:25.00 KB

页数:4页

时间:2017-08-05

上传者:U-944
基于FPGA的数字频率计【文献综述】_第1页
基于FPGA的数字频率计【文献综述】_第2页
基于FPGA的数字频率计【文献综述】_第3页
基于FPGA的数字频率计【文献综述】_第4页
资源描述:

《基于FPGA的数字频率计【文献综述】》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

毕业论文文献综述电气工程及自动化基于FPGA的数字频率计摘要:本文介绍了频率计的现状、频率计测量的基本原理及流程,介绍了FPGA在频率计的应用,以及VHDL的简介,总结了基于FPGA的数字频率计的优点。关键词:频率计;FPGA;测量;设计1.引言随着科学技术的发展,频率测量的意义已经日益显著,不仅与人们的日常生活息息相关,而且在当代科技技术中更是尤为重要。例如在信号发生器以及振荡器,各种倍频和分频电路的输出信号中,都要进行频率的测量;在电视、电讯、交通运输、科学研究、卫星发射、导弹跟踪。潜艇定位等微电子技术中,也涉及到很多有关的频率测量[1]、[2]。在电子测量过程中,总是尽可能把被测参量转换成频率参量进行测量,因此,频率测量是电子测量技术中最基本的测量之一。目前高精度的频率计已经成为研究热点之一。由于传统的频率计通常采用组合电路和时序电路等大量硬件电路构成,产品不但体积较大,运行速度慢,而且测量低频信号时不适宜直接使用。因此频率信号抗干扰性强,易于传输,可获取较高的测量精度,同时频率测量方法的优化越来越受到重视[3]、[4]。1.1频率计现状普通频率计测量范围、测量精度和测量速度的缺陷,无法满足各种领域精确快速的测量。计数式频率计测量频率的优点是测量方便、快速、直观,测量精确度比较高;缺点是较高的信噪比例,一般不能测调制波信号的频率[5]。单片机的运用与发展改善了这种情况,但由于单片机本身也受到工作频率及内部计数器位数等因素的限制,当测试频率范围比较宽时,不能够保证整个频率范围内的测量精度,所以无法在此领域取得突破性进展。而数字频率计是采用VHDL编程设计实现的数字频率计,除被测信号的整形部分,键输入部分和数码显示部分以外,其余全部都在一片FPGA芯片上实现的,整个设计过程都变得施放透明,快捷和方便,特别是对于各层次电路系统的工作时序的了解和把握显得尤为准确,而且具有灵活的现场可更改性[6]、[7]。在不改硬件电路的基础上,系统进行各种改进还可以进一步提高系统的性能和测量频率和范围。该数字频率计具有高速、精确、可靠、抗干扰性强、而且可根据需要进一步提高其测量频率的范围而不需要更改硬件连接图,具有现场可编程等优点[8]。1.2频率计工作原理比较4 数字频率计的基本原理是用计数器对1s内输入信号的脉冲个数进行计数,从而测量出信号的频率[9]。目前,有三种常用的数字频率的测量方法:直接测量法(以下称M法)、周期测量法(以下称T法)和综合测量法(一下称M/T法)。M法是在给定的闸门时间内测量被测信号的脉冲个数,进行换算得出被测信号的频率。T法是通过被测信号一个周期时间计时的脉冲个数,然后换算被测信号的频率。这两种测量方法的精度都与被测信号有关,因而他们属于非等精度测量法。而M/T法它通过测量被测信号数个周期的时间,然后换算得出被测信号的频率,克服了测量精度对被测信号的依赖性[10]、[11]1.数字频率计的开发方法本课题主要介绍用FPGA实现数字频率计。2.1系统的大概框架由于数字频率计是一个需求对数字信号进行测量和显示的系统,因此我们在设计中加入分频器,计数器,锁存电路,译码电路等。首先,分频器对时钟进行分频,得到计数电路可用的1s的闸门时间,然后计数器在1秒内对被测信号上升沿进行计数,1s结束后门控电路发送低电平,开启锁存器锁存计数结果,然后再对锁存的数据进行译码和显示。最后清零计数器[12]。在第一个显示周期后,通过程序对功能电路的清零,然后使系统开始第二个测量周期的工作。计数电路是对被测频率信号进行频率计算的主要功能电路,根据频率测量定义,电路模块的输出信号由从高到底的2进制表示十进制数组成。位数也高频率测量范围就越宽。锁存器的功能是对计数输出的数据进行锁存,即使在前级计数电路计数器清零以后,锁存器仍会保存数据,不会造成丢失[13]。由上述内容可得知,采用FPGA器件的数字频率计可以由三个模块组成,分别为输入模块用于信号的输入检测,整形,和转换;FPGA模块;显示模块包括BCD译码器和7段LED数码管。FPGA模块是系统的核心部分,其中包括了分频器、触发器、计数器1和计数器2组成。分频器出来的信号作为等精度测频原理的预置闸门信号,连接到触发器的时钟端,计数器为个可控计数器,标准频率信号从计数器时钟端输入,经过整形后输入到另一个计数器的时钟端当预置闸门信号为高电平(预置时间开始)时,被测信号的上升沿通过触发器的输出端来启动计数器计数;当预置闸门信号为低电平(预置时间结束)时,被测信号的上升沿通过触发器的输出端关闭计数器计数[14]、[15]。信号调理电路FPGA时基信号发生器LED显示模块被测信号4 图1系统基本结构图2.2软件平台及具体实现方法本设计基本构架如图1所示。核心是FPGA,采用VHDL编程语言的数字频率计设计,除被测信号的整形部分、键输入部分和数码显示部分以外,调理电路、时基信号发生器、闸门电路、门控电路、计数电路在一个FPGA芯片上实现,整个设计过程变得十分透明、快捷和方便。为了检查设计结果的正确性,需要对设计结果进行仿真,在QuartusⅡ菜单下选择Startcompilation命令,平台会自动对其编译,检查模块连接,给出错误报告。完成仿真后通过后由逻辑综合优化工具生成具体的门级逻辑电路的网表,下载到具体的CPLD器件中去,对电路进行最后的调试等。1.总结本课题利用FPGA集成度高、稳定性可靠、可直接编程等特点对数字频率计进行优化,把输入模块、FPGA模块和显示模块运用VHDL编程后下载到一片FPGA实现,不但达到了频率计的基本要求,同时还具有高速、精确、抗干扰强、体积小、容易生产、设计周期短、风险小、功耗低和稍加修改程序就能改变数字频率计的测量范围等优点。而且还免去了繁琐的连线,节省了开发的成本。参考文献:[1]梁文海,麦文.一种高精度频率测量的研究与实现[J].四川:四川师范大学报社:自然科学版,2008,31(3):376-378.[2]实践[M].北京:电子工业出版社,2003年8月.[3]李媛媛,常晓明.Verilog-HDL工程实践入门[M].北京:北京航空航天大学出版社,2005.8.[4]廖艳,陈利学.基于FPGA的等精度频率计IPCore设计[J].北京:电子技术应用,2007,33(12):21-23.[5]张永瑞.电子测量技术基础(第二版)[M].西安:西安电子科技大学出版社2009,1.[6]周润景等.基于QuartusⅡ的FPGA/CPLD数字系统设计实例[M].北京:电子工业出版社,2007,8.[7]StephenBrown,ZvonkoVranesic.FundamentalsofDigitalLogicwithVerilogDesign(2ndEdition)[M].NewYork:McGraw-Hill,2007,5.[8]GUOGai-zhi.DesignandImplementationofDigitalCymometerBasedonCPLD[J].内蒙故师范大学学报.2005,12:434-437.[9]杨守良.基于FPGA的数字频率计的设计和实现[J].电子技术2005,11:36-38.[10]周润景.基于QuartusⅡ的FPGA/CPLD数字系统设计实例[M].北京:电子工业出版社,2007,8.4 [11]谢小东,李良超.基于FPGA的等精度数字频率计设计[J].实验科学与技术,2005,10:177-179.[12]曾任贤.基于FPGA的等精度数字频率计的研究与实现[J].科技广场.2009,5:238-23.[13]林建英,宋野.高精度数字频率计的FPGA设计实现[J].电子与仪表.2001,11:5-7.[14]任爱锋.基于FPGA的嵌入式系统设计[M].西安:西安电子科技大学出版社,2004年10月.[15]杨晓慧.FPGA系统设计与实例.北京:人民邮电出版社.4

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。
关闭