基于FPGA的数字密码锁设计【文献综述】

基于FPGA的数字密码锁设计【文献综述】

ID:463267

大小:26.50 KB

页数:4页

时间:2017-08-05

上传者:U-944
基于FPGA的数字密码锁设计【文献综述】_第1页
基于FPGA的数字密码锁设计【文献综述】_第2页
基于FPGA的数字密码锁设计【文献综述】_第3页
基于FPGA的数字密码锁设计【文献综述】_第4页
资源描述:

《基于FPGA的数字密码锁设计【文献综述】》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

毕业论文文献综述电气工程及自动化数字密码锁的FPGA控制实现原理摘要:文章对数字密码锁做了初步的介绍。概括了数字密码锁的工作原理,以及设计数字密码锁的内容和优缺点。然后介绍了其在各领域的应用及未来的发展。最后对数字密码锁的设计进行归纳。关键字:FPGA;VHDL;数字密码锁;QuartusII1.引言随着社会物质财富的日益增长,安全防盗已成为社会问题。而锁自古以来就是把守门户的铁将军,人们对它要求甚高,既要安全可靠地防盗,又要使用方便,这也是制锁者长期以来研制的主题。目前国内,大部分人使用的还是传统的机械锁。然而,眼下假冒伪劣的机械锁泛滥成灾,互开率非常之高。所谓互开率,是各种锁具的一个技术质量标准,也就是1把钥匙能开几把锁的比率。经国家工商局、国家内贸局、中国消协等部门对锁具市场的调查,发现个别产品的互开率居然超标26倍。弹子锁质量好坏主要取决于弹子数量的多少以及弹子的大小,而弹子的多少和大小受一定条件的限制。此外,即使是一把质量过关的机械锁,通过急开锁,甚至可以在不损坏锁的前提下将锁打开。机械锁的这些弊端为一种新型的锁---数字密码锁,提供了发展的空间[1]。2.数字密码锁原理2.1数字密码锁概述数字密码锁是一种通过密码输入来控制电路或是芯片工作,从而控制机械开关的闭合,完成开锁、闭锁任务的电子产品。它的种类很多,有简易的电路产品,也有基于芯片的性价比较高的产品。2.2采用FPGA控制方式的优点用FPGA器件构造系统,所有算法完全由硬件电路来实现,使得系统的工作可靠性大为提高。由于FPGA具有ISP功能,当设计需要更改时,只需更改FPGA中的控制和接口电路,利用EDA工具将更新后的设计下载到FPGA器件中即可,无需更改外部电路的设计,大大提高了设计的效率。因此,采用FPGA开发的数字系统,不仅具有很高的工作可靠性,其升级与改进也极其方便[2]。2.3数字密码锁设计方案 本次设计的数字密码锁主要是利用软件的编程来对主控模块的实现。当通过按键输入密码后,就把输入的信号送给FPGA,FPGA经过里面控制模块的处理后传给了各输出电路模块。声光显示主要是来判断密码输入的正确与否和当前密码锁的状态;密码存储器主要是用来存储密码和防止电源掉电而导致密码锁的数据丢失和提高密码锁的安全性。显示电路主要是用来显示密码锁当前的状态和输入的密码是否正确[3]。目前使用的数字密码锁主要有两个方案:一是基于单片机用分立元件实现的,二是通过现代人体生物特征识别技术实现的,前者电路较复杂且灵活性差,无法满足应用要求;后者有其先进性但需考虑成本和安全性等诸多因素[4]。本次研究的主题FPGA技术在此应用上有其优越性,基于FPGA技术设计了一种新型电子密码锁,其采用FPGA(FieldProgrammableGateArray)芯片,利用先进的EDA技术、ALTERA公司的QUATUSII软件开发平台进行设计。系统采用VHDL硬件编程语言对系统建模[5]。数字密码锁主要由三个部分组成:数字密码输入电路模块、密码锁控制电路模块和密码锁显示电路模块。(1)密码锁输入电路包括时序产生电路、键盘扫描电路、键盘弹跳消除电路、键盘译码电路等几个小的功能电路[6]。(2)密码锁控制电路包括按键数据的缓冲存储电路,密码的清除、变更、存储、激活电锁电路(寄存器清除信号发生电路),密码核对(数值比较电路),解锁电路(开/关门锁电路)等几个小的功能电路[7]。(3)密码显示电路主要将显示数据的BCD码转换成相对应的编码。如,若选用七段数码管显示电路,主要将待显示数据的BCD码转换成数码器的七段显示驱动编码[8]。2.4数字密码锁的安全性及其可靠性现在应用较广的数字密码锁是以芯片为核心,通过编程来实现的。其性能和安全性已大大超过了机械锁,主要特点如下:(1)保密性好,编码量多,远远大于弹子锁,随机开锁成功率几乎为零。(2)密码可变。用户可以经常更改密码,防止密码被盗,同时也可以避免因人的更替而使锁的密级下降。(3)误码输入保护。当输入密码多次错误时,报警系统自动启动,防止试探密码[8]。3.数字密码锁的应用及发展随着人们生活水平的提高,对家庭防盗技术的要求也是越来越高,传统的机械锁由于其构造的简单,被撬的事件屡见不鲜,数字密码锁锁由于其保密性高,使用灵活性好,安全系数高,受到了广大用户的欢迎。现在市场上主要是基于单片机技术的电子密码锁,但可靠性较差。FPGA即现场可编程门阵列,它是在PAL、GAL、EPLD等可编程器件的基础上进一步发展的产物,是一种超大规模集成电路,具有对电路可重配置能力。通常FPGA都有着上万次的重写次数,也就是说现在的硬件设计和软件设计一样灵活、方便[9]、[10]。相对于基于单片机技术的数字密码锁,用FPGA器件来构成系统,可靠性提高,并且由于FPGA具有的现场可编程功能,使得电子密码锁的更改与升级更为方便简单[11]。 从目前的技术水平和市场认可程度看,使用最为广泛的是键盘式数字密码锁,该产品主要应用于保险箱、保险柜和金库,还有一部分应用于保管箱和运钞车。键盘式电子密码在键盘上输入,与打电话差不多,因而易于掌握,其突出优点是“密码”是记在被授权人脑子里的数字和字符,既准确又可靠,不会丢失(除了忘记),难以被窃(除非自己泄露)。但是密码不能太简单,太简单了就容易被他人在键盘上试探出来,或者可能被旁观者窥测出来,造成保密性不足。因此,为了发扬优点、克服弱点,键盘式电子密码也在不断发展中。总之,尽管新式电子防盗锁层出不穷,但键盘式电子密码防盗锁不仅在市场上居于主流地位,而且,还经常作为其他类型电子防盗锁的辅助输入手段[8]。4.结论通过本次设计掌握FPGA系统设计的方法,熟悉FPGA设计的相关软件,以及硬件描述语言的使用,了解电子密码锁的系统构成,利用FPGA实现电子密码锁的设计与实现,可以加深自己对所学专业的认识,关联知识,增强自己的动手能力,积累实践经验,为以后的工作打好基础[12]。参考文献:[1]孙君曼,马庆华,扈刚.基于PIC16C57单片机的电子密码锁的设计与实现[J].工业控制计算机,2002,15(7):16~24.[2][美]NigelP.Cook著,施惠琼,李黎明.实用数字电子技术[M].北京:清华大学出版社,2006年10月[3]施云贵,孙玉杰,姜维利.基于P89C51Rx2可编程计数器阵列(PCA)实现直流电机调速控制[J].仪器仪表学报,2006,32(9):19~22.[4]王金明.数字系统设计与VerilogHDL[M].北京:电子工业出版社,2005年9月.[5]康华光.电子技术基础(数字部分)-第五版[M].北京:高等教育出版社,2006年1月.[6][美]NigelP.Cook著,施惠琼,李黎明.实用数字电子技术[M].北京:清华大学出版社,2006年10月.[7]贾立新,王涌.电子系统设计与实践[M].北京:清华大学出版社,2007年4月.[8]StephenBrown,ZvonkoVranesic.FundamentalsofDigitalLogicwithVerilogDesign(2ndEdition)[M].NewYork:McGraw-Hill,2007年5月.[9]崔葛瑾.基于FPGA的数字电路系统设计[M].西安:西安电子科技大学出版社,2008年7月.[10]Ming-BoLin.DigitalSystemDesignsandPractices:UsingVerilogHDLandFPGAs[M].NewYork:McGraw-Hill,2008年8月.[11][美]NigelP.Cook著,施惠琼,李黎明.实用数字电子技术[M].北京:清华大学出版社,2006年10月. [12]杨刚等.电子系统设计与实践[M].北京:电子工业出版社,2003年8月.

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。
关闭