基于cpld的三相多波形函数发生器论文开题报告

基于cpld的三相多波形函数发生器论文开题报告

ID:9938073

大小:68.50 KB

页数:6页

时间:2018-05-16

基于cpld的三相多波形函数发生器论文开题报告_第1页
基于cpld的三相多波形函数发生器论文开题报告_第2页
基于cpld的三相多波形函数发生器论文开题报告_第3页
基于cpld的三相多波形函数发生器论文开题报告_第4页
基于cpld的三相多波形函数发生器论文开题报告_第5页
资源描述:

《基于cpld的三相多波形函数发生器论文开题报告》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、毕业设计开题报告基于CPLD的三相多波形函数发生器系别:电子工程系班级:通信0303学生姓名:陈志宏指导教师:闫舒静2006年11月9日毕业设计开题报告课题题目基于CPLD的三相多波形函数发生器课题性质ABCDE□□■□□课题来源ABCD□□■□成果形式ABCDE□■■□■同组同学无见附页指导教师意见(课题难度是否适中、工作量是否饱满、进度安排是否合理、工作条件是否具备等)指导教师签名:月日专家组及系里意见(选题是否适宜、各项内容是否达到毕业设计(论文)大纲要求、整改意见等)专家组成员签字:教学主任(签章):月日基于CPLD的三相多波形函数发

2、生器的设计1主要技术指标设计制作一个基于CPLD的三相多波形函数发生器,能输出正弦波、三角波、方波等波形的信号源电路。a.对正弦波信号的要求为:信号频率范围:20Hz-20kHz之间可调,步长为10Hz;频率稳定度:优于1/10000;非线性失真系数≤3%。b.对方波信号的要求是:信号频率范围:20Hz-20kHz;上升和下降时间<1µs;c.对三角波信号的要求为:信号频率范围:20Hz-20kHz之间可调。d.对以上三种频率均要求:产生的频率都可以预置;要求负载为600Ω时,输出信号的幅值大于3V;输出的信号幅值能在100mv~3V的范围内

3、调整,步长为100mV。以上三种波形之间的相位差均为120°。2工作思路数字直接频率合成(DirectDigitalSynthesis)是20世纪60年代末出现的第三代频率合成技术。该技术从相位概念出发,以时域采样定理为基础,在时域中进行频率合成。DDS频率转换速度快,频率分辨率高,并在频率转换时可保持相位的连续,因而易于实现多种调制功能。DDS是全数字化技术,其幅度、相位、频率均可实现程控,并可通过更换波形数据灵活实现任意波形。数字直接频率合成(DDS)是产生正弦波、方波、矩形波和三角波等比较理想的方法,可以通过软件和硬件实现,即首先将构成

4、波形的数据编程存入EPROM中,然后再利用累加器按照频率要求相对应的相位增量作累加,再以累加器的相位值为地址码,读取存储器中的波形数据,经过D/A变换和滤波后得到波形信号输出。CPLD的内部结构首先由控制寄存器将外部控制器送入的数据转换为频率和幅度控制字;然后再由分频器根据频率控制字进行分频并将输出作为寻址计数器的时钟;寻址计数器的寻址空间为360字节,由于寻址空间为360字节,故在输出寻址数大于360时,须对360取模。通过模360加法器可以产生120°的相位差。模360加法器设计这个模块是用来产生120°的相移,以形成三相相差为120°的

5、输出波形。CPLD幅度控制字经D/A转换输出后,可作为查找表输出DAC的参考电压,该参考电压可通过改变幅度控制字来进行改变,从而改变输出信号的幅度。控制寄存器的设计主要是将外部控制器输入的数据转换为频率和幅度控制字。分频比可变的分频器模块的设计主要是根据频率控制字决定分频倍数,从而输出与频率控制字相对应的频率时钟,此模块的输出可作为寻址计数器的时钟。寻址计数器主要用于产生对ROM寻址输出波形数据的寻址信号,其寻址空间为360字节。可对ROM中的查找表进行寻址,查找表ROM设计这个模块主要用于存储各种波形数据,以便通过寻址计数器寻址输出并经D/

6、A转换来输出各种波形,其中包括正弦波、三角波、方波以及锯齿波。此方案可以方便地输出多种三相波形,而且由于CPLD具有可编程重置特性,因而可以方便地改变控制方式或更换波形数据,而且简单易行,易于系统升级,同时具有很高的性价比。工艺设计选题定指标电子系统设计电路板制作制样板整理文档资料鉴定图1毕业设计流程图MAX+PLUSⅡ是第三代CPLD/FPGA开发系统,它界面友好,使用方便;设计者无须精通器件内部的复杂结构;可以采用多种设计输入方式建立设计项目。MAX+PLUSⅡ的在平台的操作菜单十分便于设计者运用这些模块完成较复杂的设计;MAX+PLUS

7、Ⅱ具有门级仿真器,可以进行功能仿真和时序仿真,能产生精确的仿真结果。VHDL语言是一种硬件描述语言,它的全称为“超高速集成电路硬件描述语言”。VHDL中,被描述的电路或系统由实体和结构体两个部分组成。实体说明部分描述该电路或系统的接口信息,结构体部分则描述该电路或系统的内部结构、数据流或动作行为的情况,用它适配于模块的输入/输出,体现出实体端口的功能。实体和结构体相配合组成VHDL设计文件。一个设计实体可以包含一个或多个结构体,用于描述设计实体的逻辑结构和逻辑功能。基于CPLD和DDS技术的函数发生器可以实现信号波形的多样化,而且方便可靠,简

8、单经济,系统易于扩展,同时可大大提高输出信号的带宽。以上介绍的是基于可编程逻辑器件CPLD和数字直接频率合成技术(DDS)的三相多波形函数发生器的基本原理,也就是进

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。