数电课程设计报告vhdl电子钟

数电课程设计报告vhdl电子钟

ID:9890006

大小:492.00 KB

页数:28页

时间:2018-05-14

数电课程设计报告vhdl电子钟_第1页
数电课程设计报告vhdl电子钟_第2页
数电课程设计报告vhdl电子钟_第3页
数电课程设计报告vhdl电子钟_第4页
数电课程设计报告vhdl电子钟_第5页
资源描述:

《数电课程设计报告vhdl电子钟》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、数电课程设计报告姓名:段续班级:计112-2学号:2指导教师:王玲玲数电课程设计报告——多功能电子钟25数电课程设计报告目录一、设计要求二、设计方案1设计需求1多功能电子钟的设计方案1电子钟模式1显示模式1选位模式2三、各功能模块设计3主控模块3主要功能3源代码3计时模块5主要功能5源代码5闹钟模块8主要功能8源代码8辅控模块10主要功能11源代码11显示模块14主要功能14源代码15蜂鸣器模块17主要功能17源代码17分频器模块18主要功能18源代码18四、设计实现过程20五、实验结果。讨论及心得体会22附录23各模

2、块仿真图23AC23BCD7DIS23CHOSE2123CHOSE8123CLKCUT24COUNT2424COUNT602425数电课程设计报告KEYEN24MC24SOUND25SWSC25TRANSLATE382525数电课程设计报告一、设计要求1、具有以二十四小时制计时、显示、整点报时、时间设置和闹钟的功能。2、射击精度要求为1s。二、设计方案设计需求1. 计时:正常工作状态下每天按24小时制计时并显示,蜂鸣器无声,逢整点报时。2.较时:在调时显示状态下,按下“k键”则进入“小时”校准状态,此时按“k键”则进入

3、“分钟”校准状态,继续按“k键”则进入“秒钟”校准状态,再按k键”则反回全部闪烁状态。在较时状态时,被较准的,数码管以闪烁显示,此时若set键值为1则按照4HZ进行加时。3. 整点报时:蜂鸣器在59分钟的51、53、55、57秒时发出频率为512hz的低音,在59秒时发出1024hz的高音,结束时为整点。4. 显示:要求采用扫描显示方式驱动8个LED数码管显示“时-分-秒”。5. 闹钟:闹钟定时时间到,蜂鸣器发出周期1秒的“滴、滴”声,持续时间为一分钟。6. 闹钟设置:在闹钟调时时状态下,按下“k键”则进入“小时”定时

4、状态,此时按“k键”则进入“分钟”定时状态,继续按“k键”则进入“秒钟”定时状态,再按k键”则回到全部闪烁状态。在定时状态时,被定时的,数码管以闪烁显示,此时若set键值为1则按照4HZ进行加时。为了实现这些功能需要一些小的模块来实现不同的功能,小模块的功能将在下面进行介绍。多功能电子钟的设计方案辅助控制模块主控模块显示模块计时模块闹闹钟模块蜂鸣器模块电子钟模式显示模式25数电课程设计报告选位模式开启电子钟正常显示秒钟闪烁分钟闪烁小时闪烁全部闪烁计时调时闹钟显示分钟闪烁小时闪烁全部闪烁闹钟调时秒钟闪烁系统开启后,数码管

5、正常显示。Functioswitch键按下,开始进入计时调时状态,在这个状态中,首先全部闪烁显示,K键按下,小时闪烁,K键按下,分钟闪烁,K键按下,秒钟闪烁。Functioswitch键按下,进入闹钟显示状态。Functioswitch键按下,进入闹钟调时状态,在这个状态中,首先全部闪烁显示,K键按下,小时闪烁,K键按下,分钟闪烁,K键按下,秒钟闪烁。25数电课程设计报告三、各功能模块设计电子钟包括:主控模块,计时模块,闹钟模块,辅控模块,显示模块,蜂鸣器模块,分频器模块。主控模块主要功能控制整个系统,输出现在的状态,

6、以及按键信息。源代码mc.vhdlibraryieee;useieee.std_logic_1164.all;useieee.std_logic_arith.all;useieee.std_logic_unsigned.all;entitymcisport(functionswitch,k,set,lightkey:instd_logic;chose21,setout:outstd_logic;lightswitch:bufferstd_logic;modeout,kmodeout:outstd_logic_vecto

7、r(1downto0);setcs,setcm,setch,setas,setam,setah:outstd_logic);endmc;architectureworkofmcissignalmode,kmode:std_logic_vector(1downto0);signallight,chose21buf:std_logic;signalsetcount:std_logic_vector(5downto0);beginprocess(functionswitch,k,set,lightkey)beginiffun

8、ctionswitch'eventandfunctionswitch='1'thenmode<=mode+'1';25数电课程设计报告endif;iflightkey'eventandlightkey='1'thenlightswitch<=notlightswitch;endif;ifmode="01"thenchose21bu

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。