数字电子课程设计报告--汽车尾灯控制电路设计

数字电子课程设计报告--汽车尾灯控制电路设计

ID:9660963

大小:160.00 KB

页数:11页

时间:2018-05-04

数字电子课程设计报告--汽车尾灯控制电路设计_第1页
数字电子课程设计报告--汽车尾灯控制电路设计_第2页
数字电子课程设计报告--汽车尾灯控制电路设计_第3页
数字电子课程设计报告--汽车尾灯控制电路设计_第4页
数字电子课程设计报告--汽车尾灯控制电路设计_第5页
资源描述:

《数字电子课程设计报告--汽车尾灯控制电路设计》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、汽车尾灯控制电路设计班级:学号:姓名:指导教师:一、总体设计要求及系统功能描述设计一个汽车尾灯控制的电路;1)用6个发光二极管模拟6个汽车尾灯(左、右各3个,高电平点亮),用2个开关作为左转弯、右转弯控制信号(高电平有效)。2)当汽车往前行驶时,6个灯全灭;3)汽车左转时,左边三个灯顺序点亮,右边灯则全不亮;反之,右转时,情况相反;4)踩刹车时,汽车灯全亮;5)紧急情况时,左边灯和右边灯都亮,但是都是闪烁状态。二、系统设计方案论述1)端口数据类型功能备注CLKSTD_LOGIC时钟信号输入zuoSTD_LOGIC左转向信号输入youSTD_LOGIC右转向信号输入shaSTD_L

2、OGIC刹车信号输入enSTD_LOGIC双闪信号输入z_outSTD_LOGIC_VECTOR(左灯输出输出2downto0)y_outSTD_LOGIC_VECTOR(2downto0)右灯输出输出2)顶层电路图3)设计源程序说明:libraryieee;useieee.std_logic_1164.all;entityweidengisport(zuo,you,clk,en:instd_logic;z_out:outstd_logic_vector(2downto0);y_out:outstd_logic_vector(2downto0));endweideng;archi

3、tectureqicheofweidengissignalzo,yu,sha:std_logic;beginprocess(zuo,you)variablea:std_logic_vector(1downto0);------------定义刹车(sha)信号--------------begina:=zuo&you;caseaiswhen"00"=>zo<='0';yu<='0';sha<='0';------------若左右信号均为0,则不是刹车信号when"10"=>zo<='1';yu<='0';sha<='0';------------若左为1右为0,也不是刹车信号w

4、hen"01"=>yu<='1';zo<='0';sha<='0';----------若右为1左为0,不是刹车信号whenothers=>yu<='1';zo<='1';sha<='1';---------只有左右都是1,才是刹车信号endcase;endprocess;--------------------左边三个灯------------------process(clk,en,sha,zuo,)variabletmp:std_logic_vector(2downto0);beginifsha='1'thentmp:="111";--------若输入刹车信号,三个灯全亮

5、elsifclk'eventandclk='1'thenifen='1'theniftmp="000"thentmp:="111";elsetmp:="000";----------若输入紧急(en)信号,灯双闪endif;elsifzuo='0'andyou='0'thentmp:="000";------------若左右输入信号为0时,灯全不亮elsifzuo='1'theniftmp="000"thentmp:="001";------------若输入左转信号,三个灯顺序点亮elsetmp:=tmp(1downto0)&'0';endif;endif;endif;z_o

6、ut<=tmp;endprocess;-------------------------右边三个灯--------------------------process(clk,en,sha,you)variabletmp:std_logic_vector(2downto0);beginifsha='1'thentmp:="111";elsifclk'eventandclk='1'thenifen='1'theniftmp="000"thentmp:="111";elsetmp:="000";endif;elsifzuo='0'andyou='0'thentmp:="000";els

7、ifyou='1'theniftmp="000"thentmp:="100";elsetmp:='0'&tmp(2downto1);endif;endif;endif;y_out<=tmp;endprocess;endqiche;4)仿真图说明在en(紧急情况)为0的前提下,当左右输入信号均为0时,左边三个灯和右边三个灯的输入信号为0,即左边灯和右边灯都不亮;在en为0的前提下,左灯输入信号和右灯输入信号都是1时,左灯和右灯全亮;在en为0的前提下,左灯输入信号为0,右灯输入信号

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。