楼梯照明灯控制器

楼梯照明灯控制器

ID:877423

大小:342.03 KB

页数:24页

时间:2017-09-22

楼梯照明灯控制器_第1页
楼梯照明灯控制器_第2页
楼梯照明灯控制器_第3页
楼梯照明灯控制器_第4页
楼梯照明灯控制器_第5页
资源描述:

《楼梯照明灯控制器》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、湖南人文科技学院课程设计报告课程名称:VHDL语言与EDA课程设计设计题目:楼梯照明灯控制器系别:通信与控制工程系专业:电子信息工程班级:2007级2班学生姓名:陈晓珂肖杨学号:0740920707409216起止日期:2009年12月21日~2010年1月日指导教师:成继中教研室主任:侯海良指导教师评语:指导教师签名:年月日成绩评定项目权重成绩陈晓珂肖杨1、设计过程中出勤、学习态度等方面0.22、课程设计质量与答辩0.53、设计报告书写及图纸规范程度0.3总成绩教研室审核意见:教研室主任签字:年月日教学系审核意见:主任签字:年月日摘要随着社会的发展人们对楼房的需求越来越大,

2、而楼道间必不可少的照明灯又起到了重要作用。为了方便住户晚间在楼梯间行走,楼梯照明灯时常整夜工作,这样长此以往不仅浪费电而且影响住户休息,所以触摸灯越来越满足人们的需求。本论文就将针对楼梯照明灯控制器进行研究和设计。本设计就是采用触摸装置进行控制楼梯的照明灯。它提供了一种操作简便、灵活、抗干扰能力强,控制灵敏的触摸灯,它采用人体接触触摸片时,经输入缓冲级的削波、放大、整形,成为标准的MOS电平,即可方便及时地打开和关闭触摸照明装置,并有防误触发而具有的自动延时关闭功能,并设有手动开关,使其应用更加方便。本次设计采用vhdl语言编写程序、借助Quartus开发软件实现波形仿真并在

3、试验箱上进行模拟的方法,比较好地完成了设计的目标,进一步熟悉了对一系列开发软件的使用,增进了对EDA课程设计的方法的掌握。关键词:CPLD/FPGAVHDLLED触摸仿真延时目录设计要求11.引言12.方案论证与对比12.1方案一22.1.1设计原理22.2方案二32.2.1设计原理32.3论证对比43.设计过程53.1各模块原理及程序53.2楼梯照明灯控制器实体的设计53.3状态机编程实现63.4计数器的设计84.系统编译和波形仿真104.1系统的编译104.1.1创建工程104.1.2编译前设置104.1.3全程编译104.2系统有关波形的仿真114.2.1波形仿真前设置

4、114.2.2波形仿真114.2.3仿真波形说明、114.2.4引脚锁定124.2.5引脚锁定说明125.课程设计总结126仪器仪表清单137致谢148参考文献15附录15楼梯照明灯控制器设计要求1.该控制器通过安装在各层楼上的触摸式开关来控制上下楼梯照明灯,每触摸一次,开关接通一次;2.打开照明灯,延迟一段时间后灯自动熄灭;3.每只开关旁还有一个小指示灯,能在黑暗中找到开关的准确位置;4.指示灯颜色为黄色,当灯亮的时候,指示灯为绿色,亮度变暗。1.引言随着EDA技术的发展及大规模可编程逻辑器件CPLD/FPGA的出现,电子系统的设计技术和工具发生了巨大的变化,通过EDA技术

5、对CPLD/FPGA编程开发产品,不仅成本低、周期短、可靠性高,而且可随时在系统中修改其逻辑功能。本文介绍了一种以Altera公司可编程逻辑器件EP1K100QC208-3为控制核心芯片,附加一定外围电路组成的触摸式延时开关电路。2.方案论证与对比整体思路通过状态机来识别不同楼层的触摸信号以便最终在试验箱的发光二极管上显示出来通过计数器来实现整个电路的自动延时功能通过总开关实现对所有的触摸开关的控制通过触摸片感应装置来实现指示灯的颜色变化通过计数器来控制指示灯以便在灯灭时恢复原色具体设计思路用状态机结构设计楼梯照明等控制器。本次设计过程采用层次化设计方法,分模块、分层次的进行

6、设计描述。描述系统的总功能的设计为系统总设计图,即顶层设计,描述系统中较小单元的设计为底层设计。在底层设计方式中采用电路图输入方式,而在底层设计中,采用硬件描述语言描述模块的逻辑功能。该装置应用电路工作原理如图。它可以用于走廊、楼梯过道作为延时节能照明灯。上楼前在楼下按动一下AN1-ANn中的任一个按钮,时基集成电路ICNE555的②脚受到低电平触发而置位,它的输出端③脚即即刻变为高电平,导致控制器DM的④端也为高电平,故照明灯H此刻点亮。松开按钮,由于ICNE555工作于单稳态模式,其③脚要持续输出高电平一段时间。同时电源通过R2向C2充电,当C2两端的电压达到2/3电源电

7、压时,NE555自动复位,其③脚又恢复为低电平,控制器DM的④脚变为低电平于是导致内部电路关断,照明灯H自动熄灭。这样,上楼时可以按下AN1-ANn中任意一只按钮,即可点亮照明灯;下楼时同理按动其中任意一只也可点亮照明灯。AN1-ANn可根据具体需要来设置。其中单稳态持续工作时间可由R2和C2的数值确定。2.1方案一2.1.1设计原理状态机设置了三个状态,它们分别是“等待一楼触摸信号状态”(S0),“等待二楼触摸信号状态”(S1),“等待三楼触摸信号状态”(S2)。当一楼的触摸信号到来时,状态机第一状态

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。