电子设计自动化-多路波形发生器的设计

电子设计自动化-多路波形发生器的设计

ID:8522376

大小:245.52 KB

页数:12页

时间:2018-03-31

电子设计自动化-多路波形发生器的设计_第1页
电子设计自动化-多路波形发生器的设计_第2页
电子设计自动化-多路波形发生器的设计_第3页
电子设计自动化-多路波形发生器的设计_第4页
电子设计自动化-多路波形发生器的设计_第5页
资源描述:

《电子设计自动化-多路波形发生器的设计》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、电子设计自动化多路波形发生器一、实验题目要求1、对输入时钟信号进行分频,实现三路互差120°的信号。2、实现输出信号的占空比控制clk:输入时钟信号resetb:同步复位信号(低电平有效)div:输入分频控制信号(注意:6n分频)ctrl:占空比控制信号ctrl=1时,占空比为1:1ctrl=2时,占空比为1:2ctrl=3时,占空比为2:1A,B,C:三路输出信号二、设计思路及方案1、多路发生器的基本原理分频器而且是可调的。因此可以先设计多个子程序分别使得信号发生器的产生的占空比分别为1:1,1:2,2:1,因此先设div

2、:integerrange1to4;,通过信号赋值(tmp,tmp1,tmp2)赋给输出信号A,B,C。通过n改变输出频率,定义各个变量。2、当resetb=0时,countQ=0当resetb=1时,给脉冲时先定义分频比如果countQ<(6*div-1)时countQ<=countQ否则countQ为0。3、当ctrl=01时即H:L=1:1时①如果countQ<3*div时tmp<=‘0’;否则tmp<=‘1’②如果countQ<2*divorcountQ>(6*div-2))时tmp1<=‘1';否则tmp1<=‘0

3、';③如果countQ(4*div-1)时tmp2<=‘0';④否则tmp2<=‘1';⑤A=tmp:B=tmp1:C=tmp2同理,当ctrl=10时即H:L=1:2时当ctrl=11时即H:L=2:1时。其中,公式推导如下当div=1,ctrl=01时当div=2,ctrl=01时countQ<6countQ<12A:000111A:000000111111B:110001B:111100000011C:011100C:001111110000A:countQ<3时tmp=0A:coun

4、tQ<6时tmp=0Elsetmp=1Elsetmp=1B:countQ<2orcountQ>4时tmp=1B:countQ<4orcountQ>10,tmp=1Elsetmp=0Elsetmp=0C:countQ<1orcountQ>3时tmp=0C:countQ<2orcountQ>7时tmp=0Elsetmp=1Elsetmp=1同理ctrl=10ctrl=11.当ctrl=01时if(countQ<3*div)thentmp<=‘0';elsetmp<=’1';if(countQ<2*divorcountQ>(6*d

5、iv-2))thentmp1<=‘1’;elsetmp1<=’0';if(countQ(4*div-1))thentmp2<='0';elsetmp2<='1';当ctrl=10时if(countQ<4*div)thentmp<='0';elsetmp<='1';if(countQ<2*div)thentmp1<='1';elsetmp1<='0';if(countQ<2*divorcountQ>(4*div-1))thentmp2<='0';elsetmp2<='1';当ctrl=11时if(cou

6、ntQ<2*div)thentmp<='0';elsetmp<='1';if(countQ<2*divorcountQ>(4*div-1))thentmp1<='1';elsetmp1<='0';if(countQ<4*div)thentmp2<='1';elsetmp2<='0';将信号tmp赋给A;tmp1赋给B;tmp2赋给C;三、流程图Reset=0?10000是否有信号输入tmp,tmp1,tmp2=0是否判定ctrl的值123tmp=atmp1=btmp2=c调用相应的子程序四、实验程序及其波形libraryiee

7、e;useieee.std_logic_1164.all;useieee.std_logic_arith.all;useieee.std_logic_unsigned.all;entityfashengqisport(clk:instd_logic;aclk:outstd_logic;bclk:outstd_logic;cclk:outstd_logic;resetb:instd_logic;div:instd_logic_vector(1downto0);ctrl:instd_logic_vector(1downto0));

8、endfangshengq;architecturebehaveoffangshengqissignaltmp:std_logic;signaltmp1:std_logic;signaltmp2:std_logic;signalcnt0:integerrange0to5:=0

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。