多路波形发生器地设计

多路波形发生器地设计

ID:35943487

大小:299.50 KB

页数:13页

时间:2019-04-26

多路波形发生器地设计_第1页
多路波形发生器地设计_第2页
多路波形发生器地设计_第3页
多路波形发生器地设计_第4页
多路波形发生器地设计_第5页
资源描述:

《多路波形发生器地设计》由会员上传分享,免费在线阅读,更多相关内容在应用文档-天天文库

1、实用标准文案北京交通大学电工电子教学基地实验报告实验课程:EDA技术实验名称:多路波形发生器的设计实验台:1号班级:四班学号:08291123姓名:游振南实验日期:2010年10月24日成绩:文档实用标准文案一·实验内容及其目的:1.熟悉多路发生器的原理还有输出相位差和占空比的原理。2.熟练用QUARTERII进行电路的编程和仿真。3.熟练使用IF语句。二·实验设计思路.1。多路发生器的原理用分频器而且是可调的因此先设div:integerrange1to4;。通过信号赋值(tmp,tmp1,tmp2)赋给输出信号A,B,C.通过n改变输出频

2、率。定义各个变量。2.。当resetb=0时,countQ=0;当resetb=1时。给脉冲时先定义分频比如果countQ<(6*div-1)时countQ<=countQ+1;否者countQ为0。4.当cltr=01时即H:L=1:1时①如果countQ<3*div时tmp<=’0’;否者tmp<=’1’②如果countQ<2*divorcountQ>(6*div-2))时tmp1<='1';否者tmp1<='0';③如果countQ(4*div-1)时tmp2<='0';④否者tmp2<='1';⑤A等于tmp

3、;B=tmp2;C=tmp3;同理:当cltr=10时即H:L=1:2时当cltr=11时即H:L=2:1时。文档实用标准文案其中;公式推导如下:当div=1,cltr=01时当div=2,cltr=01时countQ<6countQ<12A:000111;A:000000111111B:110001;B:111100000011C:011100;C:001111110000A:countQ<3时tmp=0A;countQ<6时tmp=0Elsetmp=1Elsetmp=1B:countQ<2orcountQ>4时tmp=1B:countQ<

4、4orcountQ>10,tmp=1Elsetmp=0Elsetmp=0C:countQ<1orcountQ>3时tmp=0C:countQ<2orcountQ>7时tmp=0Elsetmp=1Elsetmp=1同理:cltr=10,cltr=11.当cltr=01时if(countQ<3*div)thentmp<='0';elsetmp<='1';if(countQ<2*divorcountQ>(6*div-2))thentmp1<='1';elsetmp1<='0';if(countQ(4*div-1))then

5、tmp2<='0';elsetmp2<='1';当cltr=10时if(countQ<4*div)thentmp<='0';elsetmp<='1';if(countQ<2*div)thentmp1<='1';elsetmp1<='0';文档实用标准文案if(countQ<2*divorcountQ>(4*div-1))thentmp2<='0';elsetmp2<='1';当cltr=11时if(countQ<2*div)thentmp<='0';elsetmp<='1';if(countQ<2*divorcountQ>(4*div-1))

6、thentmp1<='1';elsetmp1<='0';if(countQ<4*div)thentmp2<='1';elsetmp2<='0';将信号tmp赋给A;tmp1赋给B;tmp2赋给C;三·流程图:文档实用标准文案四·程序及仿真波形:libraryieee;useieee.std_logic_1164.all;useieee.std_logic_arith.all;useieee.std_logic_unsigned.all;entityboxingisport(clk,resetb:instd_logic;cltr:instd_l

7、ogic_vector(1downto0);div:integerrange1to4;A,B,C:outstd_logic);endboxing;architectureaofboxingissignalcountQ:integerrange0to255;signaltmp:std_logic;signaltmp1:std_logic;signaltmp2:std_logic;beginprocess(clk,resetb)文档实用标准文案beginifclk'eventandclk='1'thenif(resetb='0')thencoun

8、tQ<=0;elseif(countQ<(6*div-1))thencountQ<=countQ+1;elsecountQ<=0;endif;endif;endif

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。