3、器电路图的设计4.3使用QuartusⅡ对设计进行仿真调试4.4连接硬件,使用示波器观察产生的波形,对不足之处进行改进调试5设计程序代码2分频分频器library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity divclk2 is port(Tclk:in std_logic; Dclk:out std_logic);end divclk2;architecture art of divclk2 issignal tempdclk:
4、std_logic:='0';beginprocess(Tclk)beginif Tclk'event and Tclk='1'then tempdclk<=not tempdclk;end if;end process;Dclk<=tempdclk;end art;比较器第9页西安科技大学《FPGA应用与设计综合实验》报告library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity COMP0 is port(A,B:in std_log
5、ic_vector(7 downto 0); Q:out std_logic);end entity;architecture art of COMP0 isbeginprocess(A,B)begin if A=B OR A>B then Q<='1'; else Q<='0'; end if;end process;end art;6仿真波形k为输入,out为输出,clk为50MHz时钟输入第9页西安科技大学《FPGA应用与设计综合实验》报告第9页西安科技大学《FPGA应用与设计综合实验》报告第9页西安