基于fpga的nco设计与仿真

基于fpga的nco设计与仿真

ID:8322281

大小:298.00 KB

页数:18页

时间:2018-03-19

基于fpga的nco设计与仿真_第1页
基于fpga的nco设计与仿真_第2页
基于fpga的nco设计与仿真_第3页
基于fpga的nco设计与仿真_第4页
基于fpga的nco设计与仿真_第5页
资源描述:

《基于fpga的nco设计与仿真》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、本科学生毕业论文论文题目:基于FPGA的NCO设计与仿真学院:电子工程学院年级:2012级专业:电子信息工程姓名:学号:指导教师:2015年06月23日摘要数控振荡器是数字通讯中调制解调单元必不可少的部分,同时也是各种数字频率合成器和数字信号发生器的核心。随着数字通信技术的发展,对传送数据的精度和速率要求越来越高。如何得到可数控的高精度的高频载波信号是实现高速数字通信系统必须解决的问题,可编程逻辑器件和大容量存储器的发展为这一问题的解决带来了曙光。本文从NCO概念入手,简要介绍NCO的概念与应用,进而介绍NCO

2、系统结构及工作原理、NCO各组成部分设计、系统仿真等几个方面,将基于FPGA的NCO设计与仿真过程展现在读者面前,简洁明了,并且在研究的过程中取得了一定的成果。关键词NCO;数控振荡器;FPGA;IIAbstractNCOisacrucialpartofthemodemasaunitofdigitalcommunications,andvariousdigitalfrequencysynthesizerandthecoreofdigitalsignalgenerator.Withthedevelopmentof

3、digitalcommunicationtechnology,thetransmittingdataaccuracyandrateofdemandishigherandhigher.Howtogettothehighfrequencycarriersignalisofhighaccuracyofthenumericalcontrolimplementationmustsolvetheproblemofhighspeeddigitalcommunicationsystem,programmablelogicdev

4、icesandthedevelopmentoflargecapacitystoragebroughtthedawnforthesolutionoftheproblem.ThisarticleobtainsfromtheconceptofNCO,brieflyintroducestheconceptandapplicationofNCO,thenintroducetheNCOsystemstructureandworkingprinciple,NCOeachcomponentdesign,systemsimula

5、tionandsoonseveralaspects,theNCObasedonFPGAdesignandsimulationprocessshowinfrontofthereader,simple,andhasobtainedcertainachievementsintheprocessofresearch.KeywordsNCO;NumericalControlledOscillator;FPGAII目录摘要IAbstractII第一章绪论1第二章NCO概述22.1NCO概念22.2基于NCO的应用22.2.

6、1DDS的实现22.2.2FSK的实现32.2.3扫频电路的实现3第三章NCO实现原理及设计方法43.1NCO实现原理43.2NCO几种常见设计方法5第四章NCO的结构及仿真54.1NCO的结构54.1.1NCO的顶层电路结构54.1.2结构设计64.2NCO的及仿真10结论12参考文献13致谢14基于FPGA的NCO设计与仿真第一章绪论数控振荡器是数字通讯中调制解调单元必不可少的部分,同时也是各种数字频率合成器和数字信号发生器的核心。随着数字通信技术的发展,对传送数据的精度和速率要求越来越高。如何得到可数控的

7、高精度的高频载波信号是实现高速数字通信系统必须解决的问题,可编程逻辑器件和大容量存储器的发展为这一问题的解决带来了曙光。在研究数控振荡器NCO工作原理的基础上,通过分析对比几种不同的NCO设计方法,采用了算法简单、节省资源的基于ROM查找表的设计方法。针对正交数控振荡器NCO的主要部件正余弦存储表、可变模计数器进行了算法设计和电路设计,并在Altera公司的FPGA上进行了验证,波形仿真结果表明了电路设计的正确性。采用查找表的方法可以有效提高系统功能的可扩展性和系统的可集成性,使得NCO功能模块可以通过配置存储

8、表、频率控制字来满足多种应用场合下的NCO设计需要,可以广泛地应用于各种现代通信系统中。随着数字通信技术的飞速发展,软件无线电的应用愈加的广泛,而影响软件无线电性能的关键器件数控振荡器NCO(NumericalCONtrolledOscillator)的设计至关重要;NCO的设计采用直接数字频率合成(DDS)技术;1971年3月美国学者J.Tierncy、C.M.Rader和B.Gol

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。