基于fpga的正交数控振荡器(nco)的设计与实现dds~nco

基于fpga的正交数控振荡器(nco)的设计与实现dds~nco

ID:14005802

大小:288.00 KB

页数:6页

时间:2018-07-25

基于fpga的正交数控振荡器(nco)的设计与实现dds~nco_第1页
基于fpga的正交数控振荡器(nco)的设计与实现dds~nco_第2页
基于fpga的正交数控振荡器(nco)的设计与实现dds~nco_第3页
基于fpga的正交数控振荡器(nco)的设计与实现dds~nco_第4页
基于fpga的正交数控振荡器(nco)的设计与实现dds~nco_第5页
资源描述:

《基于fpga的正交数控振荡器(nco)的设计与实现dds~nco》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、基于FPGA的正交数控振荡器(NCO)的设计与实现[图]发布:2012-3-2220:42 

2、 作者:张阿宁赵萍西安邮电 

3、 来源:

4、浏览:30次字体: 小 中 大 

5、上一篇下一篇

6、打印

7、评论(0)摘要:在研究数控振荡器NCO工作原理的基础上,通过分析对比几种不同的NCO设计方法,采用了算法简单、节省资源的基于ROM查找表的设计方法。针对正交数控振荡器NCO的主要部件正余弦存储表、可变模计数器进行了算法设计和电路设计,并在Altera公司的FPGA上进行了验证,波形仿真结果表明了电路设计的正确性。采用查找表的方法可以有效提高系统功能的可扩展性和系统的可集成性,使得NCO功能模块可以通过配

8、置存储表、频率控制字来满足多种应用场合下的NCO设计需要,可以广泛地应用于各种现代通信系统中。随着数字通信技术的飞速发展,软件无线电的应用愈加的广泛,而影响软件无线电性能的关键器件数控振荡器NCO(NumericalCONtrolledOscillator)的设计至关重要;NCO的设计采用直接数字频率合成(DDS)技术;1971年3月美国学者J.Tierncy、C.M.Rader和B.Gold首次提出了直接数字频率合成(DDS)技术。这是一种从相位概念出发直接合成所需要的波形的新的全数字频率合成技术。同传统的频率合成技术相比,DDS技术具有频率精度高、转换时间短、频谱纯度高以及频率相位易

9、编程、输出的频率稳定度与系统的时钟稳定度相同等一系列优点,广泛应用于现代各种通信系统中,包括数字上下变频、中频变换、频率合成以及扩频系统和各种频率相位数字调制解调系统中。在软件无线电及通信领域,经常使用正交的数字信号;针对此类应用,本文给出了一种基于FPGA的正交NCO设计方法,可以实现正交的、连续相位、高性能、高精度、可重利用的数控振荡器,适合于多种应用场景的片上系统的设计。1NCO实现原理直接数字频率合成(DDS)技术是一种全数字技术,同传统的频率合成技术相比,DDS技术具有多项优点:频率切换时间短、频率分辨率高、相位变化连续、容易实现对输出信号的多种调制等。DDS的原理框图如图1所

10、示,其实质是以基准频率源(系统时钟)对相位进行等间隔的采样。由图1可见,DDS由相位累加器和波形存储器(ROM查询表)构成的数控振荡器(NCO)、数模转换器(DAC)以及低通滤波器(LPF)3部分组成。而DDS的核心是NCO的设计与实现,NCO一般是由相位累加器和正余弦查找表两部分组成,其中相位累加器的设计较简单,故设计NCO的关键是设计正、余弦函数发生器。实现函数发生器的方法为查表法(LUT),对于一个相位位数为L,输出信号幅度位数为M的NCO,所需查找表的大小为M×2L。图1DDS基本原理框图在每一个时钟周期,L位相位累加器与其反馈值进行累加,其结果的高N位作为ROM查询表的地址,然

11、后从ROM中读出相应的幅度值送到DAC。低通滤波器LPF用于滤除DAC输出中的高次谐波。因此通过改变频率控制字K就可以改变输出频率fout。容易得到输出频率fout与频率控制字K的关系为:fout=Kfc/2L,其中fc为相位累加器的时钟频率,L为相位累加器的位数。定义当K=1为系统频率分辨率,即f=fc/2L。2NCO几种常见设计方法常见的NCO实现的方法目前主要有计算法、CORDIC(CoordinatedRotATIonDigitalComputer)算法和查表法等。计算法是一种以软件编程的方式通过实时计算产生正弦波样本,该方法耗时多且只能产生频率相对较低的正弦波,而需要产生高速的

12、正交信号时,用此方法不能很好的满足要求。CORDIC算法即坐标旋转数字计算方法,其基本思想是用一系列固定角度的不断偏摆逼近所需旋转的角度,实现包括乘除、平方根、三角函数、向量旋转(即复数乘法)以及指数运算,该算法往往需要通过乘法器和查找表才能实现多种超越函数的计算,这会导致硬件电路实现复杂、运算速度降低,此外它能够计算的角度范围也有限,故CORDIC算法在实际使用时有较大的限制条件。在实际应用中一般采用最有效、最简单的查表法,即事先根据各个NCO正弦波相位计算好相位的正弦值,并以相位角度作为地址把该相位的正弦值数据存储在表中,然后通过相位累加产生地址信息读取当前时刻的相位值在表中对应的正

13、弦值,从而产生所需频率的正弦波;同时由于正余弦波形的对称性,只需要存储部分数据即可完成全相位的数值输出。这种实现方法,设计简单、运算速度较高,可以很好的满足在数字变频、扩频、调制解调等多种场合的要求。3正交NCO的查找表实现方法基于FPGA的正交NCO设计原理框图如图2所示,主要由3部分组成:可变模计数器、正余弦查找表和输出单元3个模块组成。图2NCO的设计原理框图下面以输入的频率控制字为1200Hz为例进行设计。Fre_sampl

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。