fpga的电子钟设计(vhdl语言

fpga的电子钟设计(vhdl语言

ID:795582

大小:97.03 KB

页数:11页

时间:2017-09-05

fpga的电子钟设计(vhdl语言_第1页
fpga的电子钟设计(vhdl语言_第2页
fpga的电子钟设计(vhdl语言_第3页
fpga的电子钟设计(vhdl语言_第4页
fpga的电子钟设计(vhdl语言_第5页
资源描述:

《fpga的电子钟设计(vhdl语言》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、引言:近年来,随着数字集成电路技术的发展,用以前传统的方法进行芯片或系统设计已不能满足要求,迫切需要提高设计效率。能大大降低设计难度的VHDL设计方法正在被越来越广泛的采用。VHDL即超高速集成电路硬件描述语言,诞生于1982年。1987年底,VHDL被IEEE和美国国防部确认为标准硬件描述语言。此后VHDL在电子设计领域得到了广泛的接受,并逐步取代了原有的非标准的硬件描述语言。1993年IEEE对VHDL进行了修订,从更高的抽象层次和系统描述能力上扩展VHDL的内容,公布了新版本的VHDL,即IEEE标准的1076--1993版本。现在,VHDL作为IEEE的

2、工业标准硬件描述语言,已成为通用硬件描述语言。关键字:电子钟、FPGA、VHDL语言前言:现在电子钟产品可谓百家争鸣,市场上到处可见一些功能齐全的设备,可能基于单片机的比较多,用FPGA设计电子钟可能比较少。因为两个都可以实现同样的功能,而FPGA芯片的价格远比单片机高。出于利润,当然会选择开发成本少的产品。本次设计的目的是为了检验所学的知识(VHDL语言)同时结合实践巩固知识,由于初涉该领域,相关的知识可能不够全面,实践的经验不够,所以本设计旨在能够实现该功能并达到一定的精度,对于各模块设计的优化和性能等方面没有多加考虑。为提高精度,所以本设计采用12MHZ的

3、CLK信号,这样产生的误差也较小。一、设计目的1、掌握各类计数器及将它们相连的方法;2、掌握多个数码管动态显示的原理和方法3、掌握FPGA技术层次化设计4、进一步了解VHDL硬件描述语言的设计思想5、了解有关数字系统的设计二、设计原理:数字钟电路的基本结构由两个60进制计数器和一个24进制计数器组成,分别对秒、分、小时进行计时,当计时到23时59分59秒时,再来一个计数脉冲,则计数器清零,重新开始计时。秒计数器的计数时钟CLK为1Hz的标准信号,可以由晶振产生的24MHz信号通过分频得到。当数字钟处于计时状态时,秒计数器的进位输出信号作为分钟计数器的计数信号,分

4、钟计数器的进位输出信号又作为小时计数器的计数信号时、分、秒的计时结果通过6个数码管来动态显示。数字钟除了能够正常计时外,还应能够对时间进行调整。因此,通过模式选择信号MOD1、MOD2控制数字钟的工作状态,即控制数字钟,使其分别工作于正常计时,调整分、时和设定分、时5个状态。当数字钟处于计时状态时,3个计数器允许计数,且秒、分、时计数器的计数时钟信号分别为CLK,秒的进位,分的进位;当数字钟处于调整时间状态时,被调的分或时会一秒一秒地增加;当数字钟处于定时状态时,可以设定小时和分;当计时到所设定的时刻时,speak将会被赋予1KHz的脉冲信号用于驱动扬声器,持续

5、1分钟。三、电子钟相关功能描述如下:(1)计时功能:这是本计时器设计的基本功能,可进行时、分、秒计时,并显示。(2)闹钟功能:如果当前时间与设置的闹钟时间相同,则扬声器发出一段音乐,并维持一分钟。(3)调时调分调闹钟功能:当需要校时或者要重新设置闹钟的时间时,可通过实验箱上的按键控制。四、实现涉及的几个重要模块如下:(1)分频器将较高速的外部时钟频率分频成每分钟一次的时钟频率,以便进行时钟计数。(2)计数器实际上是一个异步复位、异步置数的累加器,通常情况下进行时钟累加计数,必要时可置入新的时钟值,然后从该值开始新的计数。(3寄存器用于保存用户设置的闹钟时间,是一

6、个异步复位寄存器。(4)显示器根据需要显示当前时间、用户设置的闹钟时间或用户通过键盘输入的新的时间,同时判断当前时间是否已到了闹钟时间,实际上是一个多路选择器加比较器。(5)控制器是设计的核心部分,按设计要求产生相应的控制逻辑,以控制其他各部分的工作。(6)乐曲演奏电路,实现闹钟的功能,那时间就发出一段叫“梁祝”的乐音。实验代码:1)主程序模块:libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entityszzisport(clk:instd_logic;md1:inst

7、d_logic;md2:instd_logic_vector(1downto0);speak:outstd_logic;h1,h2,m1,m2,s1,s2:outstd_logic_vector(3downto0));endszz;architectureoneofszzissignalhou1:std_logic_vector(3downto0);signalhou2:std_logic_vector(3downto0);signalmin1:std_logic_vector(3downto0);signalmin2:std_logic_vector(3dow

8、nto0);signal

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。