eda课程设计电子时钟

eda课程设计电子时钟

ID:7234658

大小:858.50 KB

页数:21页

时间:2018-02-08

eda课程设计电子时钟_第1页
eda课程设计电子时钟_第2页
eda课程设计电子时钟_第3页
eda课程设计电子时钟_第4页
eda课程设计电子时钟_第5页
资源描述:

《eda课程设计电子时钟》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、EDA技术课程设计课题:电子时钟系别:电气与电子工程系专业:电子信息工程姓名:学号:指导教师:河南城建学院2012年6月日21成绩评定·一、指导教师评语(根据学生设计报告质量、答辩情况及其平时表现综合评定)。二、课程设计评分成绩:2012年6月日21目录一、设计目的1二、设计要求1三、总体设计方案要求11、设计的总体原理12、设计内容1四、EDA设计与仿真21、秒计时器模块22、分计数器模块43、时计数器模块64、分频器模块85、扫描电路模块96、译码显示器模块117、系统设计13五、硬件实现161

2、、硬件实现步骤162、硬件实现结果16六、设计总结18七、参考文献18八、设计生成的电路总图1821一、设计目的这次课程设计主要是培养我们的实际动手能力及对EDA这门课程的深入的理解,增强我们对EDA程序设计流程的掌握。这个课题还要求我们掌握计数器的设计,六十进制计数器和二十四进制计数器的设计方法,以及各个进制之间的连接关系。二、设计要求1、具有时、分、秒,计数显示功能,以二十四时制循环计;2、设置启动、暂停开关,以满足启动计时和停止计时的功能;3、要求计时精度为0.01秒,最长时间为24H。4、具

3、有时间设置(清零、调节小时和分功能)和闹钟功能;(扩展功能选作)5、整点报时,整点报时的同时,LED灯花样显示或者给段动听音乐;(扩展功能选作)三、总体设计方案要求1.设计的总体原理要实现一个数字时钟系统,整个系统由主要模块电路模块和外部输入输出以及显示模块组成。首先分别实现单个模块的功能,然后再通过级联组合的方式实现对整个系统的设计。原理框图如下:图3-1.总体设计框图2.设计内容电子时钟主要模块有四个,它包括脉冲信号产生模块(即分频电路)、计数模块(计数模块又分为秒计数模块、分计数模块和时计数模

4、块)、21码显示模块、复位模块。各个模块先用EDA技术中的VHDL语言编程仿真,再生成各个小模块的模拟元件,再元件例化,根据设计连接电路实现数字电子钟系统。四、EDA设计及仿真(各个模块设计程序、原理框图及仿真波形图)1.秒计时器(second)libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entitysecondisport(clk,reset:instd_logic;sec1,sec2:outstd_l

5、ogic_vector(3downto0);---------秒计数器的两个输出;cin:outstd_logic);endsecond;architecturesecond1ofsecondissignalsec1_t,sec2_t:std_logic_vector(3downto0);---------秒计数器的中间信号;beginprocess(clk,reset)beginifreset='1'thensec1_t<="0000";----------复位信号为1时秒信号复位;sec2_t<

6、="0000";elsifclk'eventandclk='1'thenifsec1_t="1001"thensec1_t<="0000";------秒计数器的个位为9时变为0;ifsec2_t="0101"thensec2_t<="0000";------秒计数器的十位为5时变为0;elsesec2_t<=sec2_t+1;-----秒计数器的十位不为5时加1;endif;elsesec1_t<=sec1_t+1;-----秒计数器的个位不为9时加1;21endif;ifsec1_t="1001

7、"andsec2_t="0101"then----------当计数器数值为59时向分为进1;cin<='1';---------向分进1,作为分的时钟信号;elsecin<='0';endif;endif;endprocess;sec1<=sec1_t;sec2<=sec2_t;endsecond1;图4-1秒计数器框图图4-2秒计数器时序仿真图秒计数器的波形分析:由程序及仿真波形图可以看出该计数器是59进制计数器,当sec1计数到9是sec2增加1,而sec1变为0,当sec2增加到5,而且se

8、c1为9时,sec1,sec2变为0,cin1增加1向分计数器进位,提供一个分计数器的时钟信号。212.分计数器(minute)分同秒计时器一样libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entityminuteisport(clk,reset:instd_logic;min1,min2:outstd_logic_vector(3downto0);--------秒计数器的

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。