计算机组成原理综合设计报告

计算机组成原理综合设计报告

ID:6745194

大小:40.00 KB

页数:10页

时间:2018-01-24

计算机组成原理综合设计报告_第1页
计算机组成原理综合设计报告_第2页
计算机组成原理综合设计报告_第3页
计算机组成原理综合设计报告_第4页
计算机组成原理综合设计报告_第5页
资源描述:

《计算机组成原理综合设计报告》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、华南农业大学信息学院设计性、综合性实验实验项目名称:计算机组成原理综合设计实验项目性质:综合性、设计性实验所属课程名称:计算机组成原理开设时间:2009学年第一学期一、实验目的通过对简单微指令控制器的设计与实现,使抽象的理论原理在实践中得到应用,由此巩固学习内容。二、实验内容按照实验原理图设计并实现一个微型指令控制器,主要实现地址转换,指令储存等功能。三、详细设计本微指令控制器由微指令寄存器和地址转移逻辑等几个部件组成,控制存储器用来存放实现全部指令系统的微程序;微指令寄存器用来存放由控制存储器读出的一条微指令信息,其中微地址寄存器决定将要访问的下一条微指令的地址,而微命令寄存器则

2、保存一条微指令的操作控制字段和判别测试字段的信息。最后地址转移逻辑用于根据从微指令中读出的P字段来判断下一条微指令的地址,承担自动完成修改微地址的任务。分别使用寄存器,控制存储器,逻辑转移指令,节拍发生器等部件实现。逻辑转移部件地址寄存器控制存储器P字段OP//地址寄存器libraryieee;useieee.std_logic_1164.all;entityaddr_logicisport(p_segment,op:instd_logic_vector(1downto0);get_op,clk:instd_logic;addr_out:outstd_logic_vector(1d

3、ownto0));endaddr_logic;architectureaddrlogicofaddr_logicisbeginprocess(p_segment,op,get_op,clk)beginifrising_edge(clk)thenifget_op='1'thenaddr_out<=op;endif;endif;endprocess;endaddrlogic;//计时器libraryieee;useieee.std_logic_1164.all;entitypulseisport(clk,clr:instd_logic;t:outstd_logic_vector(4do

4、wnto1));endpulse;architectures_pulseofpulseiscomponentwdffisport(d,clk,r,s:instd_logic;q,nq:outstd_logic);endcomponent;signalc,nc:std_logic_vector(4downto1);signalcp,nclk,rr:std_logic;beginnclk<=notclk;c4:wdffportmap(c(3),nclk,'1',clr,c(4),nc(4));cp<=not(nclkandnc(4));rr<=not(clkandc(4));c1:wd

5、ffportmap('1',cp,rr,'1',c(1),nc(1));c2:wdffportmap(c(1),cp,rr,'1',c(2),nc(2));c3:wdffportmap(c(2),cp,rr,'1',c(3),nc(3));t(1)<=c(1)andnc(2);t(2)<=c(2)andnc(3);t(3)<=c(3);t(4)<=nc(1);ends_pulse;//总控制器libraryieee;useieee.std_logic_1164.all;entityaddisport(addin:instd_logic_vector(3downto0);clk:in

6、std_logic;addout:outstd_logic_vector(3downto0));endadd;architectures_addofaddiscomponentwdffisport(d,clk,r,s:instd_logic;q,nq:outstd_logic);endcomponent;beginw1:wdffportmap(addin[0],clk,1,1,addout[0],0);w2:wdffportmap(addin[1],clk,1,1,addout[1],0);w3:wdffportmap(addin[2],clk,1,1,addout[2],0);w

7、4:wdffportmap(addin[3],clk,1,1,addout[3],0);ends_add;signalc1,c2,c3,c4:std_logic_vector(4downto2);signaly1,y2,y3,y4:std_logic_vector(4downto1);signals1,s2,s3:std_logic_vector(4downto1);//控制存储器libraryieee;useieee.std_logic_1164.all;entit

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。