eda课程设计实验报告

eda课程设计实验报告

ID:6381866

大小:609.00 KB

页数:20页

时间:2018-01-12

eda课程设计实验报告_第1页
eda课程设计实验报告_第2页
eda课程设计实验报告_第3页
eda课程设计实验报告_第4页
eda课程设计实验报告_第5页
资源描述:

《eda课程设计实验报告》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、课程设计报告课程名称数字系统与逻辑设计课题名称16*16点阵显示专业通信工程班级1181学号201113120107姓名肖浪指导教师乔汇东吴德建2013年7月2日191919湖南工程学院课程设计任务书课程名称数字系统与逻辑设计课题16*16点阵显示专业班级通信工程1181学生姓名肖浪学号201113120107指导老师乔汇东吴德建任务书下达日期2013年6月23日任务完成日期2013年7月2日1919《数字系统与逻辑设计》课程设计任务书一、设计目的全面熟悉、掌握VHDL语言基本知识,掌握利用VHDL语言对常用的的组合逻辑电路和

2、时序逻辑电路编程,把编程和实际结合起来,熟悉编制和调试程序的技巧,掌握分析结果的若干有效方法,进一步提高上机动手能力,培养使用设计综合电路的能力,养成提供文档资料的习惯和规范编程的思想。二、设计要求1、设计正确,方案合理。2、程序精炼,结构清晰。3、设计报告5000字以上,含程序设计说明,用户使用说明,源程序清单及程序框图。4、上机演示。5、有详细的文档。文档中包括设计思路、设计仿真程序、仿真结果及相应的分析与结论。三、进度安排第十八周星期一:课题讲解,查阅资料 星期二:总体设计,详细设计星期三:编程,上机调试、修改程序星期四

3、:上机调试、完善程序星期五:答辩星期六-星期天:撰写课程设计报告附:课程设计报告装订顺序:封面、任务书、目录、正文、评分、附件(A4大小的图纸及程序清单)。正文的格式:一级标题用3号黑体,二级标题用四号宋体加粗,正文用小四号宋体;行距为22。正文的内容:一、课题的主要功能;二、课题的功能模块的划分(要求画出模块图);三、主要功能的实现;四、系统调试与仿真;五、总结与体会;六、附件(所有程序的原代码,要求对程序写出必要的注释);七、评分表。19目录一、课题的主要功能31.1问题描述31.2功能描述4二、课题的功能模块的划分42.

4、1系统的总体框图4三、主要功能的实现53.118进制加法器设计53.2216进制计数器设计53.3列驱动设计53.4字体显示控制器53.5顶层文件设计5四、系统调试与仿真64.1程序仿真图64.216*16LED点阵显示引脚分配84.3程序运行结果9五、总结与体会9六、附件10七、课程设计评分表2019一、课题的主要功能1.1问题描述本实验主要完成汉字字符在LED上的显示,16*16扫描LED点阵的工作原理与8位扫描数码管类似,只是显示的方式与结果不一样而已。下面就本实验系统的16*16点阵的工件原理做一些简单的说明。16*1

5、6点阵由此256个LED通过排列组合而形成16行*16列的一个矩阵式的LED阵列,俗称16*16点阵。单个的LED的电路如下图11-1所示:图11-1单个LED电路图由上图可知,对于单个LED的电路图当Rn输入一个高电平,同时Cn输入一个低电平时,电路形成一个回路,LED发光。也就是LED点阵对应的这个点被点亮。16*16点阵也就是由16行和16列的LED组成,其中每一行的所有16个LED的Rn端并联在一起,每一列的所有16个LED的Cn端并联在一起。通过给Rn输入一个高电平,也就相当于给这一列所有LED输入了一个高电平,这时

6、只要某个LED的Cn端输入一个低电平时,对应的LED就会被点亮。具体的电路如下图11-2所示:图11-216*16点阵电路原理图在点阵上显示一字符是根据其字符在点阵上的显示的点的亮灭来表示的,如下图11-3所示:图11-3字符在点阵上的显示在上图中,显示的是一个“汉”字,只要将被“汉”19字所覆盖的区域的点点亮,则在点阵中就会显示一个“汉”字。根据前面我们所介绍的点阵显示的原理,当我们选中第一列后,根据要显示汉字的第一列中所需要被点亮的点对应的Rn置为高电平,则在第一列中需要被点亮的点就会被点亮。依此类推,显示第二列、第三列…

7、…第N列中需要被点亮的点。然后根据人眼的视觉原理,将每一列显示的点的间隔时间设为一定的值,那么我们就会感觉显示一个完整的不闪烁的汉字。同时也可以按照这个原理来显示其它的汉字。下图11-4是一个汉字显示所需要的时序图:图11-4显示时序图1.2功能描述本实验的示例程序依次显示的是“湖南工程学院”,要求每隔一秒换下一个字显示。字体显示驱动行驱动程序16进制计数器8进制计数器顶层文件设计二、课题的功能模块的划分2.1系统的总体框图图2-1模块图此程序分为五个模块,两个计数器和一个行驱动程序和一个显示字体控制程序,最后再加上一个顶层文

8、件,用来连接前四个模块,程序简图如下:19CLK1Q1HANGCNT16W1XIANSHICLKCNT8图2-2电路连接简图三、主要功能的实现3.118进制加法器设计CNT8.vhd是8进制的计数器,其每计一次数,输出一个字。例如当计数为“000B”时显示“湖”,当计数为“0

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。