EDA课程设计实验报告详解.doc

EDA课程设计实验报告详解.doc

ID:58637050

大小:131.50 KB

页数:17页

时间:2020-10-17

EDA课程设计实验报告详解.doc_第1页
EDA课程设计实验报告详解.doc_第2页
EDA课程设计实验报告详解.doc_第3页
EDA课程设计实验报告详解.doc_第4页
EDA课程设计实验报告详解.doc_第5页
资源描述:

《EDA课程设计实验报告详解.doc》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、EDA课程设计报告课题名称:16*16点阵显示专业:通信工程班级:2013级通信工程(2)班学号:姓名:刘乐指导教师:杨泽林完成时间:2015年12月18号目录一、设计目的二、课题的主要功能三、课题的功能模块划分四、主要功能的实现五、实验程序六、系统调试与仿真七、总结与体会一、设计目的全面熟悉、掌握VHDL语言基本知识,掌握利用VHDL语言对常用的的组合逻辑电路和时序逻辑电路编程,把编程和实际结合起来,熟悉编制和调试程序的技巧,掌握分析结果的若干有效方法,进一步提高上机动手能力,培养使用设计综合电路的能力,养成提供文档资料

2、的习惯和规范编程的思想。二、课题的主要功能2.1问题描述本实验主要完成汉字字符在LED上的显示,16*16扫描LED点阵的工作原理与8位扫描数码管类似,只是显示的方式与结果不一样而已。下面就本实验系统的16*16点阵的工件原理做一些简单的说明。16*16点阵由此256个LED通过排列组合而形成16行*16列的一个矩阵式的LED阵列,俗称16*16点阵。单个的LED的电路如下图1所示:图1单个LED电路图由上图可知,对于单个LED的电路图当Rn输入一个高电平,同时Cn输入一个低电平时,电路形成一个回路,LED发光。也就是LE

3、D点阵对应的这个点被点亮。16*16点阵也就是由16行和16列的LED组成,其中每一行的所有16个LED的Rn端并联在一起,每一列的所有16个LED的Cn端并联在一起。通过给Rn输入一个高电平,也就相当于给这一列所有LED输入了一个高电平,这时只要某个LED的Cn端输入一个低电平时,对应的LED就会被点亮。具体的电路如下图2所示:图216*16点阵电路原理图在点阵上显示一字符是根据其字符在点阵上的显示的点的亮灭来表示的,如下图3所示:图3字符在点阵上的显示在上图中,显示的是一个“汉”字,只要将被“汉”字所覆盖的区域的点点亮

4、,则在点阵中就会显示一个“汉”字。根据前面我们所介绍的点阵显示的原理,当我们选中第一列后,根据要显示汉字的第一列中所需要被点亮的点对应的Rn置为高电平,则在第一列中需要被点亮的点就会被点亮。依此类推,显示第二列、第三列……第N列中需要被点亮的点。然后根据人眼的视觉原理,将每一列显示的点的间隔时间设为一定的值,那么我们就会感觉显示一个完整的不闪烁的汉字。同时也可以按照这个原理来显示其它的汉字。下图4是一个汉字显示所需要的时序图:图4显示时序图2.2功能描述本实验的示例程序依次显示的是“宁大物电学院”,要求每隔一秒换下一个字显

5、示。字体显示驱动行驱动程序16进制计数器8进制计数器顶层文件设计三、课题的功能模块的划分3.1系统的总体框图图5此程序分为五个模块,两个计数器和一个行驱动程序和一个显示字体控制程序,最后再加上一个顶层文件,用来连接前四个模块,程序简图如下:CLK1Q1HANGCNT16W1XIANSHICLKCNT8图6电路连接简图四、主要功能的实现4.18进制加法器设计CNT8.vhd是8进制的计数器,其每计一次数,输出一个字。例如当计数为“000B”时显示“宁”,当计数为“001B”时显示“大”。给其脉冲周期为1S。4.216进制计数

6、器设计CNT16.vhd是16进制的计数器,其输出端控制行和列驱动控制器的输出数据。4.3列驱动设计HANG.vhd为列驱动控制器,该模块控制所亮的行,当输出为0001H时,给点阵的第一行高电平,输出为0010H时,给点阵的第二行高电平,依次类推,逐次给每行高电平。4.4字体显示控制器XIANSHI.vhd为字体显示控制器,SHI控制的是所显示的字。例如当SHI为00H时,表示显示第一个字;当SHI为01H时,表示显示第二个字,依次类推。WEI控制所显示的为字的第几行,例如当WEI为0000B时,表示输出字的第一行文字信息

7、;WEI为0001B时,表示输出字的第二行文字信息,依次类推。4.5顶层文件设计JUZHEN16.vhd是顶层文件设计,文件在实体中首先定义了顶层设计元件的端口信号,然后在architecture和begin之间利用component语句对准备调用的元件做了声明,并定义了c,d两个信号作为器件内部的连接线。最后利用端口映射语句PORTMAP()将两个计数器和列驱动,字体显示驱动连接起来构成一个完整的器件。五、实验程序LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOG

8、IC_UNSIGNED.ALL;ENTITYCNT8ISPORT(CLK1:INSTD_LOGIC;QOUT:OUTSTD_LOGIC_VECTOR(2DOWNTO0));ENDENTITYCNT8;ARCHITECTUREBEHVOFCNT8ISSIGNALCQI:STD_LOGIC_VECTOR(2

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。