毕业设计(论文)- 基于fpga的cic滤波器设计

毕业设计(论文)- 基于fpga的cic滤波器设计

ID:6334843

大小:529.50 KB

页数:41页

时间:2018-01-10

毕业设计(论文)- 基于fpga的cic滤波器设计_第1页
毕业设计(论文)- 基于fpga的cic滤波器设计_第2页
毕业设计(论文)- 基于fpga的cic滤波器设计_第3页
毕业设计(论文)- 基于fpga的cic滤波器设计_第4页
毕业设计(论文)- 基于fpga的cic滤波器设计_第5页
资源描述:

《毕业设计(论文)- 基于fpga的cic滤波器设计》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、西安邮电学院毕业设计(论文)题目:基于FPGA的CIC滤波器设计学院:电子工程学院系部:微电子系专业:集成电路设计与集成系统班级:集成电路0703学生姓名:导师姓名:职称:讲师起止时间:2011年3月28日至2011年7月1日毕业设计(论文)诚信声明书本人声明:本人所提交的毕业论文《基于FPGA的CIC滤波器设计》是本人在指导教师指导下独立研究、写作的成果,论文中所引用他人的文献、数据、图件、资料均已明确标注;对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式注明并表示感谢。本人完全清楚本声明的法律后果,申请学位论文和资料若有不实之处,本人愿承担相应的法律责任。论文

2、作者签名:时间:年月日指导教师签名:时间:年月日西安邮电学院毕业设计(论文)任务书学生姓名奚董超指导教师高敏职称讲师学院电子工程学院系部微电子系专业集成电路设计与集成系统题目基于FPGA的CIC滤波器设计任务与要求本课题要求学生完成的工作、题目预期目标主要有:1、用VHDL或VerilogHDL语言的CIC滤波器方案设计。2、用VHDL或VerilogHDL语言实现可编程并行接口电路。3、在仿真软件平台上仿真实现CIC滤波器并对滤波器性能进行分析。4、撰写毕业论文。开始日期2011年3月28日完成日期2011年7月1日主管院长(签字)年月日西安邮电学院毕业设计(论文)工作计

3、划学生姓名奚董超指导教师高敏职称讲师学院电子工程学院系部微电子系专业集成电路设计与集成系统题目基于FPGA的CIC滤波器设计工作进程起止时间工作内容2011.3.28-2011.4.10了解CIC滤波器的基本概念、分类、原理及应用.2011.4.11-2011.4.24熟悉QUARTUSII软件开发平台2011.4.25-2011.5.8建立滤波器模型2011.5.9-2011.5.22研究模型参数,编写代码2011.5.23-2011.6.5对程序进行仿真和修改2011.6.6-2011.6.19撰写论文2011.6.20-2011.7.1修改论文,准备答辩主要参考书目(

4、资料)[1]杨刚龙海燕.《现代电子技术--VHDL与数字系统设计》.电子工业出版社[2]侯伯亨.《VHDL硬件描述语言与数字逻辑电路设计》.西安电子科技大学出版社[3]近年的文献资料[4]曾繁泰.《VHDL程序设计》,清华大学出版社主要仪器设备及材料1、可以上网的电脑一台;2、打印机一台,打印纸若干。论文(设计)过程中教师的指导安排每周至少和指导学生见面一次,了解学生在论文过程中的进度和所遇到的问题,及时协助学生解决困难,督促学生按照进度准时完成毕业论文。对计划的说明无西安邮电学院毕业设计(论文)开题报告电子工程学院微电子系(部)集成电路专业07级03班课题名称:基于FPG

5、A的CIC滤波器设计学生姓名:奚董超学号:04076092指导教师:高敏报告日期:2010年3月25日1.本课题所涉及的问题及应用现状综述在数字处理领域,滤波器占据了重要的地位。数字滤波器在语音和图像中的处理被经常用到。数字滤波器具有比模拟滤波器精度高、稳定、体积小、质量灵活、不要求阻抗匹配等优点。CIC滤波器是现代数字上变频的核心技术,具有简单高效的结构。CIC滤波器又称为简单整系数梳状滤波器,是在高速抽取后插值系统中非常有效的单元,它结构简单,处理速度快,最大的优点是不需要乘法器。设计中遇到的问题:(1)CIC滤波器的传输函数的意义;(2)滤波器的模块划分及各个参数设置

6、;(3)滤波器的的仿真;(4)滤波器的的改进方法;(5)改进后的滤波器与改进前的差异。随着数字技术的的广泛应用,现场可编程门阵列(FPGA)得到了迅速的发展,器件集成度和速度都在不断增长。FPGA既具有门阵列的高集成度和可靠性,又具有可编性,极大限度的降低了设计的成本,缩短了开发的周期。2.本课题需要重点研究的关键问题、解决的思路及实现预期目标的可行性分析CIC滤波器由积分器、抽取器和树状滤波器组成,积分器由N个理想的数字滤波器级联组成,梳状滤波器由N个差分延迟的梳状滤波器级联而成。设计时先设计出一级的CIC滤波器,分析其参数和特性,然后通过需要得出所需的级数,通过模块化的

7、设计完成多级的设计,最后经过仿真分析滤波器的特性,对其进行修改和改进。根据系统的设计要求,本系统可分为三大部分:(1)积分器(2)抽取器(3)梳状滤波器3.完成本课题的工作方案(1)通过学习,了解CIC滤波器的基本概念、分类、原理及应用,在学习中加深对CIC滤波器的理解,分析其传递函数,将传输函数划分为两块,一块为积分器,一块为梳状滤波器,深刻理解滤波器的时域和频域的变换和对应的逻辑关系。(2)学习掌握QUARTUSII软件开发环境,熟练该软件的仿真方法和实现的步骤。(3)建立基本的CIC滤波器模型,完成基本滤波器

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。