最新第2章-与编程逻辑器件教学讲义ppt课件.ppt

最新第2章-与编程逻辑器件教学讲义ppt课件.ppt

ID:62169459

大小:3.15 MB

页数:68页

时间:2021-04-20

最新第2章-与编程逻辑器件教学讲义ppt课件.ppt_第1页
最新第2章-与编程逻辑器件教学讲义ppt课件.ppt_第2页
最新第2章-与编程逻辑器件教学讲义ppt课件.ppt_第3页
最新第2章-与编程逻辑器件教学讲义ppt课件.ppt_第4页
最新第2章-与编程逻辑器件教学讲义ppt课件.ppt_第5页
资源描述:

《最新第2章-与编程逻辑器件教学讲义ppt课件.ppt》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、第2章-与编程逻辑器件主要内容可编程逻辑器件的发展进程和分类复杂可编程逻辑器件(CPLD)现场可编程门阵列(FPGA)可编程逻辑器件的编程与配置可编程逻辑器件应用选择原则2.1概述2.1.1PLD的发展进程PLD(ProgrammableLogicDevice)器件的发展经历了以下四个发展阶段:可编程只读存储器PROM和可编程逻辑阵列PLA;可编程阵列逻辑PAL;通用可编程阵列逻辑GAL;复杂可编程逻辑器件CPLD和现场可编程门阵列FPGA。1.MAX7000系列器件的基本结构MAX7000系列器件主要由2~16个逻辑阵列块LAB(LogicArray

2、Block)、2~16个I/O控制模块和一个可编程互连阵列PIA(ProgrammableInterconnectArray)三部分构成。2.MAX7000系列器件的逻辑宏单元结构MAX7000系列器件中的逻辑宏单元是器件实现逻辑功能的主体,它主要由逻辑阵列、乘积项选择矩阵和可编程寄存器三个功能块组成,每一个宏单元可以被单独地配置为时序逻辑或组合逻辑工作方式。2.MAX7000系列器件逻辑宏单元结构(续1)逻辑阵列功能模块每个LAB有16个共享扩展项,每一个共享乘积项可以被LAB内任何一个或全部宏单元使用和共享,以便实现复杂的逻辑函数。2.MAX700

3、0系列器件逻辑宏单元结构(续2)逻辑阵列功能模块除共享乘积项外可使用并联扩展乘积项实现复杂逻辑函数。此时,最多允许20个乘积项直接传送到逻辑宏单元的“或”逻辑中,其中5个乘积项是由宏单元本身提供的,15个并联扩展项是从同一个LAB中相邻宏单元借用的。2.MAX7000系列器件逻辑宏单元结构(续3)乘积项选择矩阵功能模块该模块接收来自逻辑阵列传送给本逻辑宏单元的各个乘积项,经过选择后,一部分经或门形成组合逻辑函数的输出;一部分作为控制信号,传送到可编程寄存器功能块,作为寄存器的置位、复位、时钟和时钟使能信号。可编程寄存器功能模块由可编程配置寄存器和时钟选

4、择多路选择器、快速输入选择多路选择器、复位选择多路选择器、寄存器旁路选择多路选择器等组成,对寄存器的工作方式进行灵活配置。3.MAX7000系列器件的I/O控制模块I/O控制块允许每个I/O引脚单独被配置为输入、输出或双向工作方式。所有I/O引脚都有一个三态缓冲器,三态缓冲器的使能控制信号来自一个4选1多路选择器,通过它可以选择使用两个全局的输出使能信号之一,或者是地(GND)电平,或者是电源(VCC)电平作为三态缓冲器的使能信号。4.MAX7000系列器件的PIA器件上的所有的LAB是通过在可编程互连阵列(PIA)上布线,以相互连接构成所需的逻辑。P

5、IA这个全局总线是一种可编程的通道,它可以把器件中任何信号源连接到任何一个目的地。器件中的所有专用输入、I/O引脚和逻辑宏单元输出都连接到PIA,而由PIA将这些信号传送到器件的各个地方。只有每个LAB各自需要的信号才布置从PIA到LAB的连线。2.3现场可编程门阵列(FPGA)2.3.1FPGA的基本工作原理2.3.2FLEX10K系列器件的基本结构FLEX10K系列器件是工业界第一个嵌入式PLD系列器件,它采用了SARM制造工艺和灵活逻辑单元阵列FLEX(FlexibleLogicElementMatrix)结构。2.3.2FLEX10K系列器件的

6、基本结构(续1)1.逻辑阵列块LAB每个逻辑阵列块LAB由8个相邻的逻辑单元LE,以及与相邻的LAB相连的进位链和级联链、LAB控制信号、LAB局部互连通道等组成。2.3.2FLEX10K系列器件的基本结构(续2)2.逻辑单元LE每个LE包含一个能快速产生4变量的任意逻辑函数输出的4输入查找表LUT,以及一个带同步使能的可编程触发器、与相邻LE相连的进位链和级联链。2.3.2FLEX10K系列器件的基本结构(续3)2.逻辑单元LEFLEX10K系列器件结构中提供了两条专用高速数据通道,用于连接相邻的LE,并且不占用局部互连通道,这就是进位链和级联链。2

7、.3.2FLEX10K系列器件的基本结构(续4)3.嵌入式阵列块EABFLEX10K系列器件的嵌入式阵列块是输入和输出端带有寄存器的片内RAM阵列块,可用于实现通用阵列逻辑。EAB相当于一个大规模的查找表LUT,它可编程快速实现多位数字乘法器、数字滤波器和微控制器等复杂逻辑功能,比一般的外存储器有更大的灵活性。2.3.2FLEX10K系列器件的基本结构(续5)4.快速互连通道快速互连通道是由遍布于整个器件中的“行互连”和“列互连”组成的。每行的LAB有一个专用的“行互连”,“行互连”可以驱动I/O引脚,并将信号传送到同一行中的其他LAB中。“列互连”连

8、接各行,同时也能够驱动I/O引脚。。2.3.2FLEX10K系列器件的基本结构(续6)5.输入

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。