Quartus-II-9.1-使用教程-ALTERA-FPGA-入门教程.ppt

Quartus-II-9.1-使用教程-ALTERA-FPGA-入门教程.ppt

ID:62089374

大小:1.44 MB

页数:24页

时间:2021-04-15

Quartus-II-9.1-使用教程-ALTERA-FPGA-入门教程.ppt_第1页
Quartus-II-9.1-使用教程-ALTERA-FPGA-入门教程.ppt_第2页
Quartus-II-9.1-使用教程-ALTERA-FPGA-入门教程.ppt_第3页
Quartus-II-9.1-使用教程-ALTERA-FPGA-入门教程.ppt_第4页
Quartus-II-9.1-使用教程-ALTERA-FPGA-入门教程.ppt_第5页
资源描述:

《Quartus-II-9.1-使用教程-ALTERA-FPGA-入门教程.ppt》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、广州邦讯信息系统有限公司FPGA培训教程liuts2011-8QuartusII9.1使用教程设计一个点亮4位LED灯程序要求:1、FPGA器件型号:EP2C35F484C8N2、存储FPGA代码芯片:EPCS163、系统输入时钟50MHZ4、设计一个29位分频计数器5、将分频器的26-29位用4个LED指示灯显示;6、系统下载配表管脚:Sys_clk:L1Rst_n:U12模16四个LED1-4:D15、E14、C14、D14VHDL代码libraryIEEE;useIEEE.STD_LOGIC_1164.all

2、;useIEEE.STD_LOGIC_UNSIGNED.ALL;entityled_testisPORT(sys_clk:INSTD_LOGIC;--50M系统时钟输入rst_n:INSTD_LOGIC;--复位信号输入LED:OUTSTD_LOGIC_VECTOR(3DOWNTO0));--LED灯闪动信号输出ENDled_test;ARCHITECTUREBehavioralOFled_testISSIGNALled_delay:STD_LOGIC_VECTOR(28DOWNTO0);--延时计数器,对50M时

3、钟分频BEGINPROCESS(sys_clk,rst_n)BEGINIFrst_n='0'THEN--复位,对led_delay请零led_delay<=(OTHERS=>'0');ELSIFsys_clk'EVENTANDsys_clk='1'THEN--时钟上升沿,led_delay加一led_delay<=led_delay+'1';ENDIF;ENDPROCESS;LED<=led_delay(28DOWNTO25);--分频器的高位作为LED灯闪动信号输出endBehavioral;TCL脚本文件#指定

4、系统时钟输入管脚从L1输入set_location_assignmentPIN_L1-tosys_clk#指定复位信号输入管脚从U12输入set_location_assignmentPIN_U12-torst_n#******************************************************#指定LED灯闪动信号从D15E14C14D14输出set_location_assignmentPIN_D15-toLED[0]set_location_assignmentPIN_E14-

5、toLED[1]set_location_assignmentPIN_C14-toLED[2]set_location_assignmentPIN_D14-toLED[3]#******************************************************点亮LED灯设计流程打开quartusii软件新建工程文件编辑LED灯HDL文件添加TCL脚本文件编译程序用JTAG直接下载到FPGA运行用JTAG下载到EPCS运行新建工程文件输入工程名选择目标板FPGA型号选择FILE->

6、NEW->vhdlfile新建HDL文件编辑文本并作必要注释,跟工程文件保存同一文件夹编译工程代码有错,编译器提示错误修改错误(图示:下一页)1点击Messages栏中的“Error”筛选错误2双击第一行错误(注意第一行)3双击第一行错误后,代码中的错误行会反色显示,将多余的”);”删掉4重新编译5如果还有错误,重复1-4,直到通过编译编译后,FPGA资源使用分析指定EPCS目标编程器件(图示:下一页)1Assignments->Device2点击DeviceandPinOptions…3选择Configurati

7、on4选择ActiveSerial5选择EPCS16建立脚本文件:FILE->NEW->TclScriptFile编辑TCL脚本文件,指定FPGA管脚添加脚本文件到工程查看管脚是否指定成功(此过程可以忽略) 路径:Assignments->Pins重新编译用JTAG模式,直接下载程序到FPGA运行 掉电后程序不保存掉电存储方式将程序下载到EPCS存储芯片见“使用JTAG下载EPCS器件的方法.pdf”

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。