第3章MAXPLUS软件的使用(第4节2).doc

第3章MAXPLUS软件的使用(第4节2).doc

ID:62052472

大小:4.38 MB

页数:15页

时间:2021-04-16

第3章MAXPLUS软件的使用(第4节2).doc_第1页
第3章MAXPLUS软件的使用(第4节2).doc_第2页
第3章MAXPLUS软件的使用(第4节2).doc_第3页
第3章MAXPLUS软件的使用(第4节2).doc_第4页
第3章MAXPLUS软件的使用(第4节2).doc_第5页
资源描述:

《第3章MAXPLUS软件的使用(第4节2).doc》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、个人收集整理勿做商业用途3。4。2使用VHDL语言设计例Max+plus2软件支持VHDL语言描述被设计电路的逻辑功能,如下举例说明如何使用该语言设计逻辑电路。例一:设计一个加法器第一步:进入Max+plus2软件环境第二步:建立项目名称,选择File/Project/Name菜单第三步:建立新文件,选择File/New菜单,进入文本编辑器(TextEditorFile)窗口第四步:输入VHDL语言编写的源程序,如图3.4.1所示,这是对一个加法器进行的描述。输入完毕后存盘。图3.4。1第五步:选择Assign/Device菜单,选定器件。第六步:选File/Proj

2、ect/Save&Comlile菜单,编译该VHDL源文件若有错误则改错,然后再编译,直至无错编译成功第七步:选Max+plus2WaveformEditor菜单,进入波形编辑窗口,编辑输入波形如图3.4.2所示。个人收集整理勿做商业用途图3。4.2第八步:选择Max+plus2/Simulator菜单,仿真结果如图3.4。3所示。图3.4.3用VHDL语言描述的电路同样可以使用File/CreateDefaultSymbol菜单,将该电路转换成一个单元电路,在图形编辑器中调用该单元电路就象调用其它单元电路一样方便、简单,见图3.4。4。个人收集整理勿做商业用途图3

3、.4.4例二:设计一个十进制加法计数器第一步:进入Max+plus2软件环境第二步:建立项目名称,选择File/Project/Name菜单第三步:建立新文件,选择File/New菜单,进入文本编辑器(TextEditorFile)窗口第四步:输入VHDL语言编写的源程序,这是对一个十进制加法计数器的行为描述.输入完毕后存盘。十进制加法计数器的源程序:LIBRARYieee;useieee.std_logic_1164.all;useieee。std_logic_unsigned.all;entitycount10isport(clk,reset,en:instd_l

4、ogic;qa,qb,qc,qd:outstd_logic);endcount10;architecturebehaveofcount10issignalcount_4:std_logic_vector(3downto0);beginqa〈=count_4(0);qb〈=count_4(1);qc〈=count_4(2);qd<=count_4(3);process(clk,reset)个人收集整理勿做商业用途beginif(reset='0’)thencount_4<=”0000”;elsif(clk'eventandclk='1')thenif(en='1’)th

5、enif(count_4=”1001”)thencount_4<="0000";elsecount_4<=count_4+'1’;endif;endif;endif;endprocess;endbehave;第五步:选择Assign/Device菜单,选定器件.第六步:选File/Project/Save&Comlile菜单,编译该VHDL源文件若有错误则改错,然后再编译,直至无错编译成功第七步:选Max+plus2WaveformEditor菜单,进入波形编辑窗口,编辑输入波形如图3.4.5所示。图3.4.5个人收集整理勿做商业用途第八步:选择Max+plus2/

6、Simulator菜单,仿真结果如图3。4。6所示。图3。4。6例3:如下是一个六十进制计数器和一个十二进制计数器异步连接的例子,六十进制计数器的进位输出是十二进制计数器的时钟脉冲。例中调用了六十进制计数器设计文件cou60.vhd和十二进制计数器设计文件cou12。vhd.从仿真中发现,若选用FLEX10k系列器件,由于设计不尽合理会出现不该有的毛刺,致使不该输出进位时输出了进位,导致十二进制计数器误动作,然而选用MAX7000系列器件就不会出现毛刺和误动现象。六十进制计数器设计文件和十二进制计数器设计文件基本相同,只是十位数的归零条件不同。设计文件:LIBRARY

7、ieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned。all;entitycou12isport(clk,reset,cin:instd_logic;co:outstd_logic;bcd1p:outstd_logic_vector(3downto0);bcd10p:outstd_logic_vector(2downto0));个人收集整理勿做商业用途endcou12;architecturebehaveofcou12issignalbcd1n:std_logic_vector(3dow

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。