VHDL试题集-徐嵩-吉林大学.docx

VHDL试题集-徐嵩-吉林大学.docx

ID:61536454

大小:18.42 KB

页数:7页

时间:2021-02-25

VHDL试题集-徐嵩-吉林大学.docx_第1页
VHDL试题集-徐嵩-吉林大学.docx_第2页
VHDL试题集-徐嵩-吉林大学.docx_第3页
VHDL试题集-徐嵩-吉林大学.docx_第4页
VHDL试题集-徐嵩-吉林大学.docx_第5页
资源描述:

《VHDL试题集-徐嵩-吉林大学.docx》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、?一、填空题1.在VHDL中最常用的IEEE标准库是std_logic_1164数据包。VHDL是一种硬件描述语言。3.可编程控制器所使用的软件主要是Alter公司的Quartus_软件进行开发设计和仿真。4.可编程控制器是针对PLD的可编程使用HDL语言,其中PLD的意思是_可编程逻辑器件_,HDL的意思是____硬件描述语言___________。VHDL中,下降沿的描述语言是___clk'eventandclk='0'(下降沿)clk'eventandclk='1'(上升沿)____________。6.实体的关键字是___Entity________,变量

2、的关键字是___Port____________。PLA是同时对__与____和“或”阵列,而PAL只对__与_______进行变成。8.两位逻辑变量的取值有四个,分别是___“00”“01”“10”“11”__________________(要求用程序中的书写格式表示)。9.在可VHDL语言中,‘Z’表示______高阻抗_______状态,‘-’表示______忽略________________。10.调用标准程序包的调用语句是____useieee.std_logic_1164.all___________________________________

3、__。process语句中必须加上敏感信号_________列表,以__Endprocess;____________结束。12.信号的赋值用___<=______,变量的赋值用____:=______。VHDL程序的基本结构由库、程序包、__实体________、__结构体______和配置等部分组成。14.可编程控制器是针对PLD的可编程使用HDL语言,其中PLD的意思是__可编程逻辑器件______,HDL的意思是____硬件描述语言_________。15.可编程逻辑器件在编程是必须调用ieee库,ieee是___InstituteofElectrical

4、andElectronicsEngineers(IEEE)电气和电子工程师协会___________的简称。16.可编程逻辑器件的程序主要包括调用程序包、_实体_______、__结构体________和配置四个部分。17.结构体的关键字是__architecture____________信号的关键字是______signal_____________。PROM只对___或_____阵列编程,PAL只对___与_____阵列编程。19.调用标准程序包的调用语句是___useieee._std_logic_1164.all;____________________

5、_________________。20.布尔变量的两个结果是__ture________和___false_______。21.在VHDL中,除了‘0’和‘1’外,还用__‘L’__和__‘H’___表示同样的状态。22.上升沿的VHDL描述语言是___clk'eventandclk=‘1’_____________,下降沿描述语言为__clk'eventandclk='0'____________。23.条件判断语句必须放在___过程______________中,而不能独立使用。process是一个________________过程,他还暗含一个wait语

6、句。25.变量有____________和______________之分。二、选择1.VHDL常用的库是(A)标准库。A、IEEE;B、STD;C、WORK;D、PACKAGE2.在VHDL的端口声明语句中,用(A)声明端口为输入方向。A、IN;B、OUT;C、INOUT;D、BUFFER3.在VHDL的端口声明语句中,用(C)声明端口为双向方向。A、IN;B、OUT;C、INOUT;D、BUFFER4.在VHDL中,为目标变量赋值的符号是(C)。A、=:;B、=;C、:=;D、<=5.下列(不)可作为信号的数据类型是。A、bitB、std_logicC、int

7、egerD、max6下列可用作项目名称的是(C)。A.and1B.whenC.entityD.banjiaqi7.下列语句正确的是(D)。A.signala;b;c:std_logic;B.Variableab:integer;C.Port(a:inbit;b:outbit;)D.Useieee.std_logic_1164.all;8.下列语句不正确的是(A)。A.ifa=0theny<=b;endif;B.Q<=DwhenCP’eventandCP=’1’;C.Q<=d;Y<=aandb;D.Libraryieee;9下列哪些关键字在相应的程序段不可缺少。(A

8、)A.En

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。