实验二 4位十进制计数器的设计.doc

实验二 4位十进制计数器的设计.doc

ID:61425967

大小:361.50 KB

页数:11页

时间:2021-01-29

实验二  4位十进制计数器的设计.doc_第1页
实验二  4位十进制计数器的设计.doc_第2页
实验二  4位十进制计数器的设计.doc_第3页
实验二  4位十进制计数器的设计.doc_第4页
实验二  4位十进制计数器的设计.doc_第5页
资源描述:

《实验二 4位十进制计数器的设计.doc》由会员上传分享,免费在线阅读,更多相关内容在应用文档-天天文库

1、实验二4位十进制计数器的设计一、实验目的:1、深入理解信号和变量的区别;2、深入理解并行语句和顺序语句的区别;3、深入理解异步和同步的概念;4、掌握计数器的设计方法;5、能会看最大系统运行频率和资源使用报告。二、实验原理:四位十进制计数器程序A:libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entitybcd_counterisport(clk:instd_logic;reset:instd_logic;co:outstd_logic;q:outstd_logic_vector(

2、3downto0));endentity;architecturebevofbcd_counterisbeginprocess(clk)variablecnt:std_logic_vector(3downto0);beginif(rising_edge(clk))thenifreset='1'thencnt:="0000";elseifcnt<9thencnt:=cnt+"0001";elsecnt:="0000";co<='1';endif;endif;endif;q<=cnt;endprocess;endbev;四位十进制计数器程序B:libraryieee;usei

3、eee.std_logic_1164.all;useieee.std_logic_unsigned.all;entitybcd_counter2isport(clk:instd_logic;reset:instd_logic;co:outstd_logic;q:outstd_logic_vector(3downto0));endentity;architecturebevofbcd_counter2issignalcnt:std_logic_vector(3downto0);beginprocess(clk)beginif(rising_edge(clk))thenifr

4、eset='1'thencnt<="0000";elseifcnt<9thencnt<=cnt+"0001";elsecnt<="0000";co<='1';endif;endif;endif;endprocess;q<=cnt;endbev;四位十进制计数器程序C:libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entitybcd_counter3isport(clk:instd_logic;reset:instd_logic;co:outstd_logic;q:outstd_l

5、ogic_vector(3downto0));endentity;architecturebevofbcd_counter3issignalcnt:std_logic_vector(3downto0);beginprocess(clk)beginifreset='1'thencnt<="0000";elsif(rising_edge(clk))thenifcnt<9thencnt<=cnt+"0001";elsecnt<="0000";co<='1';endif;endif;endprocess;q<=cnt;endbev;三、实验内容:1、资源使用情况和最大运行频率:程

6、序使用逻辑单元数使用寄存器数最大运行频率(MHz)(slow1200mV85CModel)A74452.49B74452.49C44710.732、RTL视图和TechnologyMap视图程序A程序B程序C3、testbench文件程序ALIBRARYaltera;LIBRARYcycloneiii;LIBRARYieee;USEaltera.altera_primitives_components.all;USEcycloneiii.cycloneiii_components.all;USEieee.std_logic_1164.all;ENTITYbcd_count

7、er_tbISEND;ARCHITECTUREbcd_counter_tb_archOFbcd_counter_tbISSIGNALq:std_logic_vector(3downto0);SIGNALclk:STD_LOGIC:='0';SIGNALco:STD_LOGIC;SIGNALreset:STD_LOGIC:='0';COMPONENTbcd_counterPORT(q:outstd_logic_vector(3downto0);clk:inSTD_LOGIC;co:outSTD_LOGIC;reset:inSTD

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。