全国电子设计大赛a组

全国电子设计大赛a组

ID:6131650

大小:402.50 KB

页数:20页

时间:2018-01-04

全国电子设计大赛a组_第1页
全国电子设计大赛a组_第2页
全国电子设计大赛a组_第3页
全国电子设计大赛a组_第4页
全国电子设计大赛a组_第5页
资源描述:

《全国电子设计大赛a组》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、2011年全国大学生电子设计竞赛开关电源模块并联供电系统(A题)【本科组】设计报告2011年9月3日Error!Nobookmarknamegiven.II摘要系统由DC/DC并联模块、AD和单片机、显示控制模块、控制模块构成。DC/DC模块由TL494芯片并联搭建,由负载反馈提供电流的比例控制,通过分析直流变换器的基本电路结构和工作原理,给出主电路结构、工作原理、主要关系式。系统实现了保持稳定电压输出8V,负载电流I在1.5-3.5A之间变化,两个模块的输出电流可在(0.5-2.0)范围内指定比例调节,系统采用开关电源电路具有调整速度快,精度高,效率高,输出纹波小等优点。关键词:

2、DCDC模块单片机Error!Nobookmarknamegiven.Error!Nobookmarknamegiven.Error!Nobookmarknamegiven.Error!Nobookmarknamegiven.Error!Nobookmarknamegiven.Error!Nobookmarknamegiven.Error!Nobookmarknamegiven.19目录1方案论证与设计31.1DCDC的论证与选择31.2控制系统的论证与选择32程序设计与参数计算42.1电路设计42.2DCDC的原理图52.3DCDC的计算82.4程序设计与参数计算83电路

3、与程序设计………………………………………………………………………………….83.1电路设计…………………………………………………………………………………..83.2DC/DC的原理图…………………………………………………………………………..93.3TL494原理图及工作状态………………………………………………………………103.4参数计算………………………………………………………………………...……….104测试方案与测试结果104.1测试方案104.2测试条件与仪器114.3测试结果及分析114.3.1测试结果(数据)114.3.2测试分析与结论11附录1:源程序13附录2:

4、参考文献20Error!Nobookmarknamegiven.Error!Nobookmarknamegiven.Error!Nobookmarknamegiven.Error!Nobookmarknamegiven.Error!Nobookmarknamegiven.Error!Nobookmarknamegiven.Error!Nobookmarknamegiven.19开关电源模块并联供电系统(A题)【本科组】一.设计要求1.1任务设计并制作一个由两个额定输出功率均为16W的8VDC/DC模块构成的并联供电系统(见图1)。1.2要求①基本要求(1)调整负载电阻至额定输出功率

5、工作状态,供电系统的直流输出电压UO=8.0±0.4V。(2)额定输出功率工作状态下,供电系统的效率不低于60%。(3)调整负载电阻,保持输出电压UO=8.0±0.4V,使两个模块输出电流之和IO=1.0A且按I1:I2=1:1模式自动分配电流,每个模块的输出电流的相对误差绝对值不大于5%。(4)调整负载电阻,保持输出电压UO=8.0±0.4V,使两个模块输出电流之和IO=1.5A且按I1:I2=1:2模式自动分配电流,每个模块输出电流的相对误差绝对值不大于5%。②发挥部分(1)调整负载电阻,保持输出电压UO=8.0±0.4V,使负载电流IO在1.5~3.5AError!Noboo

6、kmarknamegiven.Error!Nobookmarknamegiven.Error!Nobookmarknamegiven.Error!Nobookmarknamegiven.Error!Nobookmarknamegiven.Error!Nobookmarknamegiven.Error!Nobookmarknamegiven.19之间变化时,两个模块的输出电流可在(0.5~2.0)范围内按指定的比例自动分配,每个模块的输出电流相对误差的绝对值不大于2%。(2)调整负载电阻,保持输出电压UO=8.0±0.4V,使两个模块输出电流之和IO=4.0A且按I1:I2=1:1模

7、式自动分配电流,每个模块的输出电流的相对误差的绝对值不大于2%。(3)额定输出功率工作状态下,进一步提高供电系统效率。(4)具有负载短路保护及自动恢复功能,保护阈值电流为4.5A(调试时允许有±0.2A的偏差)。(5)其他。③说明(1)不允许使用线性电源及成品的DC/DC模块。(2)供电系统含测控电路并由UIN供电,其能耗纳入系统效率计算。(3)除负载电阻为手动调整以及发挥部分(1)由手动设定电流比例外,其他功能的测试过程均不允许手动干预。(4)供电系统应留出UIN、

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。