数字电子电路设计中eda技术应用

数字电子电路设计中eda技术应用

ID:5953658

大小:26.50 KB

页数:5页

时间:2017-12-29

数字电子电路设计中eda技术应用_第1页
数字电子电路设计中eda技术应用_第2页
数字电子电路设计中eda技术应用_第3页
数字电子电路设计中eda技术应用_第4页
数字电子电路设计中eda技术应用_第5页
资源描述:

《数字电子电路设计中eda技术应用》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、数字电子电路设计中EDA技术应用  摘要:文章通过详细的分析与介绍,为广大读者快速认知一种设计输入采用硬件描述语言VHDL,通过使用超大规模的可编程逻辑芯片,运用自上而下的EDA设计方法,从而实现电路构建、数字电子电路设计开发的新型手段。作者在对EDA技术基本属性介绍的基础上,运用VHDL的实际设计例子为范本进行具体研究。关键词:数字电子电路;VHDL;EDA技术;可编程芯片中图分类号:TN702文献标识码:A文章编号:1009-2374(2013)19-0051-025大规模集成电路的出现以及计算机技术的不断更新换代与广泛应用,促使数字电子电路的设计已经发展到了一个更新的领域,用以下四

2、方面便足以显示:(1)硬件电路的设计越来越趋向软件化;(2)数字电路发展愈加芯片化;(3)电子器件以及与其相关的技术研发越来越多地朝着服务于EDA的方向;(4)电路设计技术的发展趋势朝着更加规范化、标准化的HDL硬件描述语言及EDA工具的推广使用上。当今的技术发展趋势要求从业人员在进行数字电子电路设计过程中,必须具备运用电子计算机以及操作超大规模的可编程逻辑器件的能力。本文通过对以EDA与VHDL为基础的数字电子电路开发设计过程进行简要叙述,为该技术的推广运用,做出必要的文献研究支撑。1EDA技术概述以及其开发设计流程1.1EDA技术的基本知识EDA技术指的是以计算机为工作平台,将应用信

3、息处理、计算机技术、智能化技术及电子技术进行融合的最新成果,进行电子产品的自动设计。20世纪60年代中叶,随着技术水平的不断进步逐渐,该技术结合了CAM、CAT、CAD以及CAE的综合优势被逐步发展出来。5与其前身相比,EDA在以下五方面拥有着十分明显的优势:(1)EDA技术能够对目标进行现场编程,并即时地实现在线升级。(2)硬件电路设计过程中采用软件设计的方式,通过输入波形、原理图、编程语言等指令,可以在进行硬件设计、修改、检测中,不涉及任何硬件工具进行特定作业。(3)产品直面设计自动化。EDA技术能够自动地根据设计输入的电路原理图或者HDL进行逻辑编译、适配、布局、优化等一系列工序调

4、整并生成符合要求的目标系统。换句话说,就是运用电路功能完成对电子产品的测试、仿真、优化全程操作。(4)EDA技术的经济实用性更加科学、合理,不仅设计成本保持在较低水平,设计的灵活性也大大提高,同时新技术的开发周期也明显缩短。(5)集成化程度更加完善。EDA设计方法,还有另外一种称呼:利用芯片进行设计的方法,在集成芯片日益大规模的发展背景下,利用EDA技术,可以实现在芯片上构建系统的目的。1.2EDA技术的开发设计流程EDA技术在设计方法上,通过对以往的“电路设计硬件搭试调试”模式进行革命化的转变,代之以计算机自动化完成的模式(如图1),完成了数字电子电路设计的巨大飞跃。笔者将EDA技术设

5、计过程中两个最基本的组成部分设计载体:可编程逻辑器件PLD以及设计输入:硬件描述语言VHDL进行具体介绍。2可编程逻辑器件PLD可编程逻辑器件(ProgrammableLogicDevice,PLD)是一种电子零件、电子组件,简而言之也是一种集成电路、芯片。PLD芯片属于数字型态的电路芯片,而非模拟或混讯(同时具有数字电路与模拟电路)芯片。PLD与一般数字芯片不同的是:PLD内部的数字电路可以在出厂后才规划决定,有些类型的PLD也允许在规划决定后再次进行变更、改变,而一般数字芯片在出厂前就已经决定其内部电路,无法在出厂后再次改变。3硬件描述语言VHDL3.1VHDL的基本知识VHDL全名

6、Very-High-SpeedIntegratedCircuitHardwareDescription5Language,在电子工程领域,已成为事实上的通用硬件描述语言。具有以下特点与优势:(1)更强大的行为描述能力。有效避免具体的器件结构,为实现超大规模的电子系统设计与描述打下坚实基础。(2)适用范围多样,且易于操作修改。VHDL采用国际通用的编程语言,能够很好地适用于不同版本的EDA工具,为操作者进行使用和修改提供了极大的便利。(3)设计描述过程独为一体。编程人员能够在对设计最终目标以及其他设计领域不甚了解的情况下,完成自身的编程操作工序。(4)使用EDA工具可以十分方便地对VHDL

7、语言进行进一步的优化提升,由于EDA工具对其的可识别性,EDA可以实现对VHDL的设计语言重新进行整合、升级,并用门级网表将其表示出来。3.2基于VHDL的设计实例用一个简单的状态机举例说明4结语通过使用精简描述语言VHDL进行数字电子电路设计,不仅能够完成各种逻辑复杂、规模庞大的数据运算,更可以有效地将设计周期大大缩短,提高整个系统的可靠性以及集成度。参考文献[1]于玲.EDA应用课程教学改革分析[J].辽宁工业大学学报(社会科学

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。