第4章-VHDL基础PPT课件.ppt

第4章-VHDL基础PPT课件.ppt

ID:59479477

大小:515.50 KB

页数:101页

时间:2020-09-14

第4章-VHDL基础PPT课件.ppt_第1页
第4章-VHDL基础PPT课件.ppt_第2页
第4章-VHDL基础PPT课件.ppt_第3页
第4章-VHDL基础PPT课件.ppt_第4页
第4章-VHDL基础PPT课件.ppt_第5页
资源描述:

《第4章-VHDL基础PPT课件.ppt》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、第4章 VHDL基础VHDL结构VHDL语法基础VHDL常用语句基本逻辑电路设计1.什么是VHDL?VHDL是超高速集成电路硬件描述语言(VeryHighSpeedIntegratedCircuitHardwareDescriptionLanguage)的英文缩写。4.1 VHDL结构2.实体(Entity)结构体(Architecture)配置(Configuration)包集合(Package)库(Library)一个完整的VHDL程序VHDL设计文件的两个基本组成部分实体部分描述设计系统的外部接口信号(即输入/输出信号)结构体用于描

2、述系统的内部电路配置用于从库中选取所需元件安装到设计单元的实体中包集合存放各设计模块能共享的数据类型、常数、子程序等库用于存放已编译的实体、结构体、包集合和配置3.VHDL程序结构例4-1一个2输入的与门的逻辑描述LIBRARYieee;--库说明语句USEieee.std_logic_1164.ALL;--程序包说明语句ENTITYand2ISPORT(a,b:INSTD_LOGIC;y:OUTSTD_LOGIC);ENDand2;ARCHITECTUREand2xOFand2ISBEGINy<=aANDb;ENDand2x;实体部分结

3、构体部分4.2库(LIBRARY)库是用来放置可编译的设计单元的地方,通过其目录可查询和调用。VHDL中的库大致可归纳为5种:IEEE库、STD库、ASIC矢量库、WORK库和用户定义库。IEEE库。常用的资源库。IEEE库包含经过IEEE正式认可的STD_LOGIC_1164包集合和某些公司提供的一些包集合,如STD_LOGIC_ARITH(算术运算库)、STD_LOGIC_UNSIGNED等。STD库。VHDL的标准库。库中存放有称为“standard”的标准包集合,其中定义了多种常用的数据类型,均不加说明可直接引用。STD库中还包含

4、有称为“textio”的包集合。在使用“textio”包集合中的数据时,应先说明库和包集合名,然后才可使用该包集合中的数据。ASIC矢量库。在VHDL语言中,为了进行门级仿真,各公司可提供面向ASIC的逻辑门库。在该库中存放着与逻辑门一一对应的实体。为了使用面向ASIC的库,对库进行说明是必要的。5.WORK库。WORK库是现行作业库。设计者所描述的VHDL语句不需要任何说明,将都存放在WORK库中。WORK库对所有设计都是隐含可见的,因此在使用该库时无需进行任何说明。用户定义库。用户定义库简称用户库,是由用户自己创建并定义的库。设计者可

5、以把自己经常使用的非标准(一般是自己开发的)包集合和实体等汇集成在一起定义成一个库,作为对VHDL标准库的补充。用户定义库在使用时同样要首先进行说明。6.库和程序包的语法:library<设计库名>;use<设计库名>.<程序包名>.all;一般VHDL代码中库和程序包的调用语句:libraryieee;useieee.std_logic_1164.all;useieee.std_logic_arith.all;useieee.std_logic_signed.all;useieee.std_logic_unsigned.all;--最常

6、用--计数器常用7.3.实体实体的一般格式为:ENTITY实体名IS[类属参数说明];[端口说明];END;ENTITY、IS、END是VHDL的关键字(保留字)。实体中的每一个I/O信号被称为端口,其功能对应于电路图符号的一个引脚。端口说明则是对一个实体的一组端口的定义,即对基本设计实体与外部接口的描述。端口是设计实体和外部环境动态通信的通道。类属参数说明是可选部分。如果需要,可使用以“GENERIC”语句来指定该设计单元的类属参数(如延时、功耗等)。实体名、端口名等均应为符合VHDL命名规则的标识符。8.类属参数说明的格式为:GENE

7、RIC(参数名:参数类型:=初始值);端口说明的一般格式为:PORT(端口名{,端口名}:端口模式数据类型; 端口名{,端口名}:端口模式数据类型);端口模式用来说明数据传输通过该端口的方向。端口模式有以下几类:IN(输入):仅允许数据流进入端口。主要用于时钟输入、控制输入、单向数据输入。OUT(输出):仅允许数据流由实体内部流出端口。该模式通常用于终端计数一类的输出,不能用于反馈。BUFFER(缓冲):该模式允许数据流出该实体和作为内部反馈时用,但不允许作为双向端口使用。INOUT(双向):可以允许数据流入或流出该实体。该模式也允许用于

8、内部反馈。如果端口模式没有指定,则该端口处于缺省模式为:IN。9.entity实体名isport(a:inbit;b:inbit;y:outbit);end实体名;端口名数据类型端口模式aby

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。