QuartusII集成开发工具ppt课件.ppt

QuartusII集成开发工具ppt课件.ppt

ID:59475927

大小:836.00 KB

页数:63页

时间:2020-09-14

QuartusII集成开发工具ppt课件.ppt_第1页
QuartusII集成开发工具ppt课件.ppt_第2页
QuartusII集成开发工具ppt课件.ppt_第3页
QuartusII集成开发工具ppt课件.ppt_第4页
QuartusII集成开发工具ppt课件.ppt_第5页
资源描述:

《QuartusII集成开发工具ppt课件.ppt》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、第3章QuartusII集成开发工具基于QuartusII进行EDA设计开发的流程3.1QuartusII原理图设计1.为本项工程设计建立文件夹2.输入设计项目和存盘元件输入对话框3.将设计项目设置成可调用的元件将所需元件全部调入原理图编辑窗并连接好4.设计全加器顶层文件连接好的全加器原理图f_adder.bdf5.将设计项目设置成工程和时序仿真f_adder.bdf工程设置窗5.将设计项目设置成工程和时序仿真加入本工程所有文件5.将设计项目设置成工程和时序仿真全加器工程f_adder的仿真波形3.2QuartusII的优化设置1.Setting设置在QuartusII软件菜单栏中

2、选择“Assignments”中的“Setting…”就可打开一个设置控制对话框。可以使用Setting对话框对工程、文件、参数等进行修改,还可设置编译器、仿真器、时序分析、功耗分析等等。Settings对话框2.分析与综合设置Analysis&SynthesisSettings项中包含有四个项目:VHDLInputVerilogHDLInputDefaultParametersSynthesisNetlistOptimization作为QuartusII的编译模块之一,Analysis&Synthesis包括QuarutsIIIntegratedSynthesis集成综合器,完全

3、支持VHDL和VerilogHDL语言,并提供控制综合过程的选项。支持Verilog-1995标准(IEEE标准1364-1995)和大多数Verilog-2001标准(IEEE1364-2001),还支持VHDL1987标准(IEEE标准1076-1987)和VHDL1993标准(IEEE标准1076-1993)。3.优化布局布线Setting对话框的FitterSettings页指定控制时序驱动编译和编译速度的选择,如下图所示。FitterSettings选项页moreFitterSettings选项页在CompilationReport中查看适配结果在TimingClosur

4、eFloorplan中查看适配结果在ChipEditor中查看适配结果3.3QuartusII的时序分析全程编译前时序条件设置界面“MoreSettings…”中的设置时序分析结果3.4基于宏功能模块的设计Megafunction库是Altera提供的参数化模块库。从功能上看,可以把Megafunction库中的元器件分为:算术运算模块(arithmetic)逻辑门模块(gates)储存模块(storage)IO模块(I/O)3.4.1Megafunctions1.算术运算模块库(arithmetic)参数化除法器(lpm_divide)应用举例生成文件类型和文件名设置输入数据线宽

5、度及类型设置流水线、优化、余数表示方式设置生成文件8位有符号除法器电路余数始终以正数形式表示的功能仿真波形余数非始终以正数形式表示的功能仿真波形2.逻辑门库(gates)补码转换为幅度码的电路lpm_constant(参数化常量模块)应用举例lpm_constant参数设置转换电路波形仿真参数化锁相环宏模块altpll以输入时钟信号作为参考信号实现锁相,从而输出若干个同步倍频或者分频的片内时钟信号。与直接来自片外的时钟相比,片内时钟可以减少时钟延迟,减小片外干扰,还可改善时钟的建立时间和保持时间,是系统稳定工作的保证。不同系列的芯片对锁相环的支持程度不同,但是基本的参数设置大致相同

6、,下面便举例说明altpll的应用。3.4.2Maxplus2库(1)输入altpll宏功能模块选择芯片和设置参考时钟锁相环控制信号设置输入时钟设置(2)编译和仿真锁相环电路功能仿真波形Maxplus2库主要由74系列数字集成电路组成,包括时序电路宏模块和运算电路宏模块两大类,其中时序电路宏模块包括触发器、锁存器、计数器、分频器、多路复用器和移位寄存器,运算电路宏模块包括逻辑预算模块、加法器、减法器、乘法器、绝对值运算器、数值比较器、编译码器和奇偶校验器。对于这些小规模的集成电路,在数字电路课程中有详细的介绍。他们的调入方法和Megafunction库中的宏模块是一样的,只是端口和

7、参数无法设置。3.4.2Maxplus2库计数器74161设计举例模10计数器仿真结果模10计数器仿真波形3.1基于QuartusII软件,用D触发器设计一个2分频电路,并做波形仿真,在此基础上,设计一个4分频和8分频电路,做波形仿真。。3.2基于QuartusⅡ软件,用74161设计一个模99的计数器,个位和十位都采用8421BCD码的编码方式设计,分别用置0和置1两种方法实现,完成原理图设计输入、编译、仿真和下载整个过程。习题33.3基于QuartusII软件,用

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。