第5章VHDL设计进阶报告ppt课件.ppt

第5章VHDL设计进阶报告ppt课件.ppt

ID:59208528

大小:1.08 MB

页数:96页

时间:2020-09-26

第5章VHDL设计进阶报告ppt课件.ppt_第1页
第5章VHDL设计进阶报告ppt课件.ppt_第2页
第5章VHDL设计进阶报告ppt课件.ppt_第3页
第5章VHDL设计进阶报告ppt课件.ppt_第4页
第5章VHDL设计进阶报告ppt课件.ppt_第5页
资源描述:

《第5章VHDL设计进阶报告ppt课件.ppt》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、第5章VHDL设计进阶第5章VHDL设计进阶5.1VHDL语言要素5.2VHDL顺序语句5.3VHDL并行语句5.4子程序5.5库、程序包及其配置5.6VHDL描述风格5.7单元电路的设计举例5.8VHDL与原理图混合设计举例第5章VHDL设计进阶数字的表达可以是整数文字、实数文字、以数制基数表示的文字和物理量文字。字符是用单引号引起来的ASCII字符,可以是数值,也可以是符号或字母,如:‘R’,‘A’,‘*’,‘0’。而字符串则是一维的字符数组,须放在双引号中。5.1VHDL语言要素1、VHDL文字规则标识符是VHDL语言中各种成分的名称,这些成分包括常量、变量

2、、信号、端口、子程序或参数等。定义标识符需要遵循以下规则:l有效的字符:包括26个大小写英文字母,数字0~9以及下划线“_”。l任何标识符必须以英文字母开头。l必须是单一下划线“_”,且其前后都必须有英文字母或数字。l标识符中的英文字母不分大小写。l允许包含图形符号(如回车符、换行符等),也允许包含空格符。lVHDL的保留字不能用于作为标识符使用。以下是几种合法和非法标识符的示例。合法的标识符:Decoder_1,FFT,abc123。非法的标识符:_Decoder_1--起始为非英文字母2FET--起始为数字Not-RST--符号“-”不能作为标识符的构成RyY

3、_RST_--标识符的最后不能是下划线Data__BUS--标识符中不能有双下划线Begin--关键词不能作为标识符resΩ--使用了无效字符“Ω”下标名用于指示数组型变量或信号的某一元素,而下标段名则用于指示数组型变量或信号的某一段元素,其语句格式如下:数组类型信号名或变量名(表达式l[TO/DOWNTO表达式2]);下面是下标名及下标段名使用示例:SIGNALa,b,c:BIT_VECTOR(0TO7);SIGNALm:INTEGERRANGE0TO3;SIGNALy,z:BIT;y<=a(m);--m是不可计算型下标表示z<=b(3);--3是可计算型下标表

4、示c(0TO3)<=a(4TO7);--以段的方式进行赋值c(4TO7)<=a(0TO3);--以段的方式进行赋值常量的定义形式如下:CONSTANT常量名:数据类型[:=表达式];例如:CONSTANTfbt:STD_LOGIC_VECTOR:=“010110”;--标准位矢类型CONSTANTvcc:REAL:=5.0;--实数类型CONSTANTdely:TIME:=25ns;--时间类型常量定义语句所允许的设计单元有实体、结构体、程序包、块、进程和子程序。2、VHDL数据对象(1)常量(CONSTANT)定义变量的语法格式如下:VARIABLE变量名:数据

5、类型[:=初始值];例如:VARIABLEa:INTEGERRANGE0TO15;VARIABLEb,c:INTEGER:=2;VARIABLEd:STD_LOGIC;变量赋值的一般表达式如下:目标变量名:=表达式;变量作为局部量,其适用范围仅限于定义了变量的进程或子程序的顺序语句中(2)变量(VARIABLE)信号的定义格式如下:SIGNAL信号名:数据类型[:=初始值];以下是信号的定义示例:SIGNALs1:STD_LOGIC:=‘0’;--定义了一个标准位的单值信号s1,初始值为低电平SIGNALs2,s3:BIT;--定义了两个位(BIT)的信号s2和s

6、3SIGNALs4:STD_LOGIC_VECTOR(15DOWNTO0);信号的赋值语句表达式如下:目标信号名<=表达式;信号的使用和定义范围是实体、结构体和程序包。在进程和子程序中不允许定义信号。(3)信号(SIGNAL)(6)信号赋值和变量赋值分别使用不同的赋值符号“<=”和“:=”,信号类型和变量类型可以完全一致,也允许两者之间相互赋值,但要保证两者的类型相同。(4)信号与变量的区别(1)信号赋值至少有δ延时,而变量赋值没有延时。(2)信号除当前值外有许多相关的信息,而变量只有当前值。(3)进程对信号敏感而对变量不敏感。(4)信号可以是多个进程的全局信号;

7、而变量只在定义它们的顺序域可见(共享变量除外)。(5)信号是硬件中连线的抽象描述,它们的功能是保存变化的数据和连接子元件,信号在元件的端口连接元件。变量在硬件中没有类似的对应关系,它们用于硬件特性的高层次建模所需要的计算中。(4)用户自定义数据类型:枚举类型,整数与实数类型,数组类型,记录类型3、VHDL数据类型四大类:标量、复合、存取、文件类型,每类分为两种。(1)VHDL的预定义数据类型:布尔(BOOLEAN)数据类型,位(BIT)数据类型,位矢量(BIT_VECTOR)数据类型,字符(CHARACTER)数据类型,整数(INTEGER)数据类型,自然数(NA

8、TURAL

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。